VLSI Design - Science topic

Bhuvaneswari Thiyagarajan

  • Recruit researchers
  • Join for free
  • Login Email Tip: Most researchers use their institutional email address as their ResearchGate login Password Forgot password? Keep me logged in Log in or Continue with Google Welcome back! Please log in. Email · Hint Tip: Most researchers use their institutional email address as their ResearchGate login Password Forgot password? Keep me logged in Log in or Continue with Google No account? Sign up

Search form

illustration of chip

Robust Low Power VLSI

Search This Site

  • Body Sensor Networks
  • Energy Efficient Circuit Design
  • Energy Harvesting and Power Management Unit
  • System-on-Chip
  • Design Automation
  • Wake-Up Receiver
  • Chip Gallery
  • Photo Gallery

The Robust Low Power VLSI Group, led by Professor Ben Calhoun, investigates research topics related to modern VLSI design. Among the many challenges facing circuit designers in deep sub-micron technologies, power and variation are perhaps the most critical. Our group's focus is to confront these problems in a range of applications and different regions of the design space. Our specific research interests include low power digital circuit design, sub-threshold digital circuits, SRAM design for end-of-the-roadmap silicon, variation tolerant circuit design methodologies, and medical applications for low energy electronics. The group is engaged in projects related to each of these topics.

Featured Projects

View more projects

In this project, a low power wireless ECG sensor is implemented using commercial off the shelf (COTS) components. The resulting system can acquire and process ECG data and send it wirelessly to a basestation such as a handheld device. The picture shows the ECG sensor in operation, with the PDA plotting the real time ECG signal.

research topics in vlsi

This work explores reconfigurable circuits operating at low voltages. While the existing FPGAs are too high power to meet the requirements of IoT applications, we designed and optimized new circuit typologies of CLBs and global interconnect in near/sun-threshold region. We also developed custom tool flow to support full chip configuration. A 90nm chip implements the FPGA with 1134 LUTs, which is 2.7X smaller, 14X faster, and 4.7X less energy than a sub-threshold FPGA using conventional circuits and 22X less energy than an equivalent FPGA at full VDD. We are currently working towards dynamic voltage scaling and measurements using real-life applications.

The artificial seal whisker project is a joint effort with the University of Virginia’s Mechanical Engineering Department and the University of California Santa Cruz to detect and track underwater wakes using an array of bio-inspired sensors. Previous biological work found harbor seals are able to track wakes using only their whiskers. In this project, the seal whisker team is focused on understanding how seals sense wakes using their whiskers, designing a capacitance based whisker-like sensor, and designing the electrical backend printed circuit board for sensing, storing, and transmitting data. The biologically inspired sensor’s design is based on seal whiskers and previous effort in the field involving spider hairs and fish lateral lines. All components will be integrated in the Wake Information Detection and Tracking System (WIDTS) to be carried by a trained harbor seal for testing.

Featured Chips

View more chips in the Chip Gallery

research topics in vlsi

Information

  • Author Services

Initiatives

You are accessing a machine-readable page. In order to be human-readable, please install an RSS reader.

All articles published by MDPI are made immediately available worldwide under an open access license. No special permission is required to reuse all or part of the article published by MDPI, including figures and tables. For articles published under an open access Creative Common CC BY license, any part of the article may be reused without permission provided that the original article is clearly cited. For more information, please refer to https://www.mdpi.com/openaccess .

Feature papers represent the most advanced research with significant potential for high impact in the field. A Feature Paper should be a substantial original Article that involves several techniques or approaches, provides an outlook for future research directions and describes possible research applications.

Feature papers are submitted upon individual invitation or recommendation by the scientific editors and must receive positive feedback from the reviewers.

Editor’s Choice articles are based on recommendations by the scientific editors of MDPI journals from around the world. Editors select a small number of articles recently published in the journal that they believe will be particularly interesting to readers, or important in the respective research area. The aim is to provide a snapshot of some of the most exciting work published in the various research areas of the journal.

Original Submission Date Received: .

  • Active Journals
  • Find a Journal
  • Proceedings Series
  • For Authors
  • For Reviewers
  • For Editors
  • For Librarians
  • For Publishers
  • For Societies
  • For Conference Organizers
  • Open Access Policy
  • Institutional Open Access Program
  • Special Issues Guidelines
  • Editorial Process
  • Research and Publication Ethics
  • Article Processing Charges
  • Testimonials
  • Preprints.org
  • SciProfiles
  • Encyclopedia

electronics-logo

Journal Menu

  • Electronics Home
  • Aims & Scope
  • Editorial Board
  • Reviewer Board
  • Topical Advisory Panel
  • Instructions for Authors
  • Special Issues
  • Sections & Collections
  • Article Processing Charge
  • Indexing & Archiving
  • Editor’s Choice Articles
  • Most Cited & Viewed
  • Journal Statistics
  • Journal History
  • Journal Awards
  • Society Collaborations
  • Conferences
  • Editorial Office

Journal Browser

  • arrow_forward_ios Forthcoming issue arrow_forward_ios Current issue
  • Vol. 13 (2024)
  • Vol. 12 (2023)
  • Vol. 11 (2022)
  • Vol. 10 (2021)
  • Vol. 9 (2020)
  • Vol. 8 (2019)
  • Vol. 7 (2018)
  • Vol. 6 (2017)
  • Vol. 5 (2016)
  • Vol. 4 (2015)
  • Vol. 3 (2014)
  • Vol. 2 (2013)
  • Vol. 1 (2012)

Find support for a specific problem in the support section of our website.

Please let us know what you think of our products and services.

Visit our dedicated information section to learn more about MDPI.

VLSI Design, Testing, and Applications

  • Print Special Issue Flyer
  • Special Issue Editors

Special Issue Information

  • Published Papers

A special issue of Electronics (ISSN 2079-9292). This special issue belongs to the section " Circuit and Signal Processing ".

Deadline for manuscript submissions: closed (15 November 2022) | Viewed by 13921

Share This Special Issue

Special issue editor.

research topics in vlsi

Dear Colleagues,

The focus of this Special Issue is on the research challenges related to the design of emerging microelectronics and VLSI circuits and related systems that meet the demanding specifications of innovative applications. This Special Issue considers challenges in the fields of low power consumption, small integration areas, testing and security, without, however, being limited to them. Authors are encouraged to submit works related to emerging research topics and applications, such as hardware security, low-power IoT devices, high-performance processing cores, etc.

Dr. Xiang Chen Guest Editor

Manuscripts should be submitted online at www.mdpi.com by registering and logging in to this website . Once you are registered, click here to go to the submission form . Manuscripts can be submitted until the deadline. All submissions that pass pre-check are peer-reviewed. Accepted papers will be published continuously in the journal (as soon as accepted) and will be listed together on the special issue website. Research articles, review articles as well as short communications are invited. For planned papers, a title and short abstract (about 100 words) can be sent to the Editorial Office for announcement on this website.

Submitted manuscripts should not have been published previously, nor be under consideration for publication elsewhere (except conference proceedings papers). All manuscripts are thoroughly refereed through a single-blind peer-review process. A guide for authors and other relevant information for submission of manuscripts is available on the Instructions for Authors page. Electronics is an international peer-reviewed open access semimonthly journal published by MDPI.

Please visit the Instructions for Authors page before submitting a manuscript. The Article Processing Charge (APC) for publication in this open access journal is 2400 CHF (Swiss Francs). Submitted papers should be well formatted and use good English. Authors may use MDPI's English editing service prior to publication or during author revisions.

  • device modeling
  • emerging technologies
  • CAD for VLSI design
  • hardware/software co-design
  • testing and verification
  • FPGA-based design
  • embedded systems
  • low-power circuits and systems
  • hardware security
  • emerging applications
  • VLSI for AI and ML algorithms

Published Papers (6 papers)

research topics in vlsi

Further Information

Mdpi initiatives, follow mdpi.

MDPI

Subscribe to receive issue release notifications and newsletters from MDPI journals

VLSI 2020: IBM Research highlights nanosheet, AI processor and photonics advances

At the 2020 Symposia on VLSI Technology and Circuits this week, IBM Research is presenting a variety of papers, short courses, workshops and virtual sessions that demonstrate the latest advances in systems research. Our research spotlights key developments for hybrid cloud infrastructure and AI , marked by improvements in performance, energy efficiency, area scaling, and new workloads.

At VLSI’s first-ever virtual conference, IBM researchers are presenting their work on a universal air spacer compatible with different transistor architectures, whether it’s a fin field-effect transistor (FinFET) or a Nanosheet device architecture. Another team of IBM researchers demonstrates a new AI processor core design resulting in hardware utilization improvements that led to notable enhancements in training efficiency and performance. In a third paper, researchers focused on faster silicon photonics-based network switching, with one goal of eventually making these networks more useful for data centers.

research topics in vlsi

The new air spacer design, taken by a transmission electron microscope.

In their paper, “Improved Air Spacer Co-Integrated with Self-Aligned Contact (SAC) and Contact Over Active Gate (COAG) for Highly Scaled CMOS Technology,” IBM researchers described how the new air spacer reduces effective capacitance – a critical factor impacting the characteristics of CMOS devices – by 15 percent through a reduction in the air spacer’s dielectric constant, leading to performance gains and power reductions at the same time. Although SAC and COAG have been adopted in FinFET technology to reduce the footprint of transistors and standard cells, co-integrating air spacers with SAC and COAG has been challenging.

The spacer is an isolation layer between a gate and the contacts for source and drain in the transistor – essentially, an electronic switch. When the gate is on, electricity flows from the source to the drain, and the gate serves as a valve. The spacer ensures the gate controls only the flow and that the gate and the source and drain are electrically isolated. Without the spacer, the gate cannot serve as a valve.

Researchers positioned their improved air spacer as a viable approach to enhance energy efficiency and performance of advanced CMOS technology by reducing parasitic capacitance, the unwanted capacitance between the parts of an electronic component or circuit due to their proximity to one another.

The paper introduces a new process to form air spacers and provides a practical approach to enabling an electronic device to consume less power while achieving better performance. Excitingly, introducing the new air spacer module into 7nm FinFET produces more performance gains than more costly and disruptive scaling of FinFET to 5nm. The researchers expect their work will help pave the way for their technology’s adoption in FinFET and NanoSheet transistors in the coming years.

Paper authors: Kangguo Cheng, Chanro Park, Heng Wu, Juntao Li, Son Nguyen, Jingyun Zhang, Miaomiao Wang, Sanjay Mehta, Zuoguang Liu,  Richard Conti, Nicolas Loubet, Julien Frougier, Andrew Greene, Tenko Yamashita, Bala Haran, Rama Divakaruni

AI Processor Core

research topics in vlsi

The Digital AI Core with heterogeneous compute engines, featuring dual corelet architecture, shared L1 scratchpad, and memory neighbor interface.

A worldwide team of IBM researchers described a hardware demonstration of a processor core that can be applied to both AI training and inference applications in their paper, “A 3.0 TFLOPS 0.62V Scalable Processor Core for High Compute Utilization AI Training and Inference.” The researchers achieved leading-edge compute efficiency for robust AI computations via efficient heterogeneous 2-D systolic array-SIMD (single instruction, multiple data) compute engines leveraging compact DLFloat16 Floating Point Units (FPUs). DLFloat is a 16-bit floating point format designed by IBM for deep learning training and inference.

For this study, the researchers optimized a Gen 1 core they first published in 2018, focusing on circuit design, architecture, and software enhancements to produce testchips with Gen 2 cores. This updated Gen 2 design features two corelets working in parallel and sharing memory to facilitate efficient computations. The resulting Gen 2 testchip achieved 5.5x power-efficiency improvements over their Gen 1 testchip for Deep Learning training and inference workflows while using a smaller supply voltage than their first-generation core. Each of the two corelets in the new design has 64 processing elements (each with multiple FPUs) that perform convolution and matrix multiplication operations, which is greater than 80 percent of overall workload in deep learning.

This advancement is part of the Digital AI Core accelerator research in the  IBM Research AI Hardware Center . AI hardware accelerators can be used for building and deploying neural network models  for applications such as speech recognition, natural language processing and computer vision. This latest chip focuses on 16-bit training and inference, but the researchers have also published progress towards   8 bit training  and  inference as low as 2 bits .

Paper authors: Jinwook Oh, SaeKyu Lee, Mingu Kang, Matthew Ziegler, Joel Silberman, Ankur Agrawal, Swagath Venkataramani, Bruce Fleischer, Michael Guillorn, Jungwook Choi, WeiWang, Silvia Mueller, Shimon Ben-Yehuda, James Bonanno, Nianzheng Cao, Robert Casatuta, Chia-Yu Chen, Matt Cohen, Ophir Erez, Thomas Fox, George Gristede, Howard Haynie, Vicktoria Ivanov, Siyu Koswatta, Shih-Hsien Lo, Martin Lutz, Gary Maier, Alex Mesh, Yevgeny Nustov, Scot Rider, Marcel Schaal, Michael Scheuermann, Xiao Sun, Naigang Wang, Fanchieh Yee, Ching Zhou, Vinay Shah, Brian Curran, Vijayalakshmi Srinivasan, Pong-Fei Lu, Sunil Shukla, Kailash Gopalakrishnan, Leland Chang

Silicon Photonics

research topics in vlsi

The silicon photonics switch module.

In the paper, “A Monolithically Integrated Silicon Photonics 8×8 Switch in 90nm SOI CMOS,” IBM researchers from the U.S. and Canada presented a silicon photonics-based network switch integrated with switching and control electronics. Silicon photonics, an evolving technology in which optical rays transfer data between computer chips, provides an affordable way to build faster switches. Optical rays can carry far more data in less time than electrical conductors.

IBM researchers have created one of the best performing high speed photonic switches, closing the performance gap with packet switching, which the internet uses to send data as well as information about where the data should be delivered. They have also simplified many problems that arise when trying to build electronics and photonics on the same chip. Their goal is to include all of the necessary electronics in order to reduce the packaging load and make a switch that’s both easier to manufacture and more affordable to implement.

The new optical-based circuit switching technology enables switch reconfiguration times of less than 15 nanoseconds while avoiding the high power of more conventional packet-based electronic switches, which require optical-to-electronic domain conversion. The technology uses a scalable process with simple flip chip packaging. Flip chip is a method for interconnecting integrated circuit chips, microelectromechanical systems, or other semiconductor components to external circuitry.

Paper authors: Jonathan E. Proesel, Nicolas Dupuis, Herschel Ainspan, Christian W. Baks, Fuad Doany, Nicolas Boyer, Elaine Cyr, Benjamin G. Lee

Additional Works

Other accepted VLSI papers from IBM and AI Hardware Center members, in addition to those above, include:

“Selective Enablement of Dual Dipoles for Near Bandedge Multi-Vt Solution in High Performance FinFET and Nanosheet Technologies,” R. Bao, K. Watanabe, J. Zhang, H. Zhou, M. Sankarapandian, J. Li, S. Pancharatnam, P. Jamison, R. G Southwick, M. Wang, J. J Demarest, J. Guo, N. Loubet, V. Basker, D. Guo, V. Narayanan, B. Haran, H. Bu, M. Khare

“Si Incorporation Into AsSeGe Chalcogenides for High Thermal Stability, High Endurance and Extremely Low Vth Drift 3D Stackable Cross-point Memory,” H. Y. Cheng, I. T. Kuo, W C. Chien, C. W. Yeh, Y. C. Chou, N. Gong, L. Gignac, C. H. Yang, C. W. Cheng, C. Lavoie, M. Hopstaken, B. R. Bruce, L. Buzi, E. K. Lai, F. Carta, A. Ray, M. H. Lee, H. Y.Ho, W. Kim, M. BrightSky, H. L. Lung

“Structural and Electrical Demonstration of SiGe Cladded Channel for PMOS Stacked Nanosheet Gate-All-Around Devices,” S.Mochizuki, B.Colombeau, J.Zhang, S. C.Kung, M.Stolfi, H. Zhou, M. Breton, K. Watanabe, J. Li, H. Jagannathan, M.Cogorno, T.Mandrekar, P.Chen, N. Loubet, S.Natarajan, B.Haran

“Composite Interconnects for High-Performance Computing Beyond the 7nm Node” P. Bhosale, S. Parikh, N. Lanzillo, T. Nogami, R. Tao, M. Gage, R. Shaviv, A. Simon, M. Stolfi, S. Reidy, N.Loubet, B. Haran

“A no-verification Multi-Level-Cell (MLC) operation in Cross-Point OTS-PCM” N. Gong, W. Chien, Y. Chou, C. Yeh, N. Li, H. Cheng, C. Cheng, I. Kuo, C. Yang, R. Bruce, A. Ray, L. Gignac, Y. Lin, C. Miller, T. Perri, W. Kim, L. Buzi, H. Utomo, F. Carta, E. Lai, H. Ho, H. Lung, M. BrightSky

“A 25-50Gb/s 2.22pJ/b NRZ RX with Dual-Bank and 3-tap Speculative DFE for Microprocessor Application in 7nm FinFET CMOS” Y. You, G. Wiedemeier, C. Marquart, C. Steffen, E. English, De. Yilma, T. Pham, V. Nammi, J. Okyere, N. Blanchard, A. Sutton, Z. Zhang, D. Friend D. Barba, T. Bohlke, M. Spear, V. Raj, J. Crugnale, D. Dreps, P.A. Francese, M. Kossel, T. Morf

Additionally, at VLSI:

  • Alberto Valdes-Garcia will give an invited talk on “Hardware-Software Co-Integration for Configurable 5G mmWave Systems” (Circuits JFS2.1 session)
  • Mukta Farooq and Arvind Kumar will offer a short course on “ Heterogenous Integration Architectures for AI ”
  • Nicholas Loubet will offer a short course on “ Nanosheet Transistor as a Replacement of FinFET for Future Nodes: Device Advantages & Specific Process Elements ”
  • Mounir Meghelli will offer a short course on “ Advances and Trends in High-Speed Serial Links for High-Density IO Applications ”
  • Robert Bruce will offer a workshop presentation on “ Designing Material Systems and Algorithms for Analog Computing ”

These advances are part of IBM’s systems research group, which includes initiatives focusing on hybrid cloud, AI hardware, and exploratory science.

  • Kangguo Cheng

Integrated Circuits and VLSI

Related links.

ECE Grad Course Link > (click the IC column to see Major area courses)

Michigan Integrated Circuits Lab>

Microelectronics & Semiconductors >

Research in analog integrated circuits includes low-power and high-precision sensor and actuator interface circuits, telecommunication and RF circuits, wireless telemetry, and high-speed analog-digital converters. 

Research in Very-large-scale integration (VLSI) digital circuits includes microprocessor and mixed signal (microcontroller) circuits, with emphasis on low-power and high-performance; computer-aided design, including logic synthesis, physical design, and design verification; testing and design for testability; advanced logic families and packaging; integrated circuit micro-architectures; and system integration. 

Specialties

  • Analog Circuits
  • Data converters
  • Digital circuits
  • Energy harvesting
  • Hardware DSP implementation
  • Low power circuits
  • RF circuits
  • Sensing systems

ECE Faculty

Ehsan afshari, al-thaddeus avestruz, david blaauw, robert dick, michael flynn, seok-hyeon jeong, hun-seok kim, pinaki mazumder, khalil najafi, mehdi saligane, dennis sylvester, david wentzloff, euisik yoon, zhengya zhang, cse faculty, ronald dreslinski, university of michigan team partners with semiwise to tackle cryogenic control electronics technology, joseph costello awarded rackham predoc to support research on brain-machine interfaces, augmented reality system for accessible play, igym, goes international, u-michigan a partner in two chips act midwest microelectronics hubs, kyumin kwon’s research on automating analog circuit design earns best paper award at smacd23, six ece faculty will help shape the future of semiconductors as part of the jump 2.0 program, open-source hardware: a growing movement to democratize ic design, best paper for a low-power adc circuit for brain-machine interface applications, mike flynn named fawwaz t. ulaby collegiate professor of electrical and computer engineering, prof. david blaauw inducted into micro hall of fame, chips and science act: implications and opportunities, the ethical implications of tech, and why it matters for engineers, batteryless next-generation cellular devices could empower a more sustainable future, snails carrying the world’s smallest computer help solve mass extinction survivor mystery, research to advance low-power speech recognition highlighted by intel, first digital single-chip millimeter-wave beamformer will exploit 5g capabilities, tracking monarch butterfly migration with the world’s smallest computer, u-m startup skygig aims to take 5g to the next level, trevor odelberg receives ndseg fellowship to help run the world with low power batteryless circuits, matthew belz receives ndseg fellowship to improve the safety of autonomous systems, battery-free sensor startup takes aim at industrial efficiency, “ultra low-power receivers for iot applications” wins outstanding invited paper, best paper award for optimizing wireless power transfer, david blaauw named kensall d. wise collegiate professor of electrical engineering and computer science, upgrading signal interfaces for better wearable devices, hun-seok kim receives career award to facilitate internet of things connectivity, two ‘u’ researchers receive distinguished university innovator award, blaauw, sylvester are 2019 distinguished university innovators, first programmable memristor computer aims to bring ai processing down from the cloud, afshari group receives best invited paper award at the 2019 ieee custom integrated circuits conference, a high-efficiency gaas solar cell to power the internet of tiny things, slam-ming good hardware for drone navigation, u-m startup raises $6 million in venture funding, communicating with the world’s smallest computers, crafting better digital systems with ece phd student jie-fang zhang, michigan chips will be first to test next-generation hardware design tools, a new hybrid chip that can change its own wiring, enabling anyone to design hardware with a new open-source tool, hun-seok kim receives darpa young faculty award to advance research in iot networks, an even smaller world’s smallest ‘computer’, seed-sized u-m computers pumped into oil wells featured at the houston museum of natural science, fred buhler builds better chips for “aweslome” applications, 2017 isca influential paper award for groundbreaking research in power-efficient computing, michigan’s millimeter-scale computers featured at isscc2017, and in ieee spectrum, cubeworks: solving problems with the world’s smallest and lowest-power computers, alum startup wins $25,000 at accelerate michigan competition, injectable computers can broadcast from inside the body, injectable computers, avish kosari selected as barbour scholar for research in low-power devices for the internet of things, googling the physical world, claude gauthier and omniphy: connecting to the ethernet revolution, 3 ece companies make the silicon 60 list – again, david wentzloff receives joel and ruth spira excellence in teaching award, thomas chen earns nsf graduate research fellowship for research in artificial neural networks for computer vision, elnaz ansari earns towner prize for distinguished academic achievement, michigan micro mote (m3) makes history as the world’s smallest computer, prof. michael flynn elected ieee fellow for contributions to analog-digital interfaces, lynn conway receives 2015 ieee/rse james clerk maxwell medal, leaders in ultra low power cicuits and systems presenting at vlsi circuits symposium, thank lynn conway for your cell phone, student spotlight: nathan roberts – enabling the internet of things, psikick startup attracts financing for its internet of things technology, muhammad faisal wins business competition with technology critical to the internet of things, making the internet of things happen, image processing 1,000 times faster is goal of new $5m contract, zhengya zhang receives intel early career award, 2013 design automation conference anniversary awards, bharan giridhar awarded rackham predoctoral fellowship for research in circuit techniques for adaptive, reliable, high-performance computing, david blaauw and dennis sylvester named top authors by isscc, david wentzloff receives career award for research in energy-autonomous systems, nathan roberts earns best paper award for research to assist in remote patient monitoring, developing the wireless component for personalized health devices, ug research spotlight: fred buhler spends his summer improving circuit testing, student teams earn prizes for their analog/digital interface circuit designs in eecs 511, michael mccorquodale named 2012 ubm electronics ace innovator of the year, prof. david blaauw elected fellow of the ieee, laura freyman awarded nsf graduate research fellowship, powering breakthrough technologies, toward computers that fit on a pen tip: new technologies usher in the millimeter-scale computing era, three eecs teams are winners in 2011 dac/isscc student design contest, zhengya zhang receives nsf career award, paving the way for ubiquitous computing, prof. dennis sylvester elected fellow of the ieee, meeting the challenges for low-power system-on-chip (soc) design, zhengya zhang earns best paper award at symposium on vlsi circuits, ambiq micro: taking a startup to the next level, millimeter-scale, energy-harvesting sensor system developed, eecs professors receive research grants from google, prof. david wentzloff awarded young faculty award (yfa) by darpa, sensing sensors: nsf funding news ways to monitor infrastructure for safety, eecs researchers receive best paper award at islped, microchip sets low-power record with extreme sleep mode.

A Comprehensive Analysis in Recent Advances in 3D VLSI Floorplan Representations

  • Conference paper
  • First Online: 01 December 2022
  • Cite this conference paper

research topics in vlsi

  • Rohin Gupta 41 &
  • Sandeep Singh Gill 42  

Part of the book series: Lecture Notes in Electrical Engineering ((LNEE,volume 962))

412 Accesses

Floorplan is one of the most critical steps of the physical design of VLSI Design flow. Decreasing size, interconnects, power consumption, and chip leakage are always on the top priority list for consumers and researchers. This article presents the latest advancements in one of the hot research topics in VLSI Physical Design: 3D Floorplanning. A lot of research articles have been studied for this article, and only major research points from some chosen relevant to 3D architecture articles have been incorporated in this paper. The 3D VLSI floorplan field is quite vast than the 2D VLSI floorplan and is comparatively less explored. This article reviews various aspects of floorplanning that cover floorplanning based on volume, tiers, vias, TSVs, and other representations of 3D VLSI Floorplan. These techniques, when applied as algorithms, help in simplifying the problem. These algorithms help optimize results that increase the chip’s overall performance. Some of the central representations have been incorporated in Sect.  5 . Conclusion with research gap and future scope is described in the end.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Subscribe and save.

  • Get 10 units per month
  • Download Article/Chapter or eBook
  • 1 Unit = 1 Article or 1 Chapter
  • Cancel anytime
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
  • Available as EPUB and PDF
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
  • Durable hardcover edition

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Similar content being viewed by others

research topics in vlsi

VLSI Floorplan Area Optimisation Technique

research topics in vlsi

A new representation in 3D VLSI floorplan: 3D O-Tree

research topics in vlsi

G-NSVF: A Greedy Algorithm for Non-Slicing VLSI Floorplanning

Bernstein, K., Andry, P., Cann, J., Emma, P., Greenberg, D., Haensch, W., Ignatowski, M., Koester, S., Magerlein, J., Puri, R., & Young, A. (2007). Interconnects in the third dimension: Design challenges for 3D ICs. In 44th ACM/IEEE Design Automation Conference (pp. 562–567). San Diego, CA, USA.

Google Scholar  

Sheng, S., Chandrakasan, A., & Brodersen, R. W. (1992). A portable multimedia terminal. IEEE Communications Magazine, 30 (12), 64–75.

Article   Google Scholar  

Salewski, S., & Barke, E. (2002). An upper bound for 3D slicing floorplans. In Proceedings of ASP-DAC/VLSI Design 2002. 7th Asia and South Pacific Design Automation Conference and 15h International Conference on VLSI Design (pp. 567–572). India.

Yuh, P.-H., Yang, C.-L., Chang, Y.-W., & Chen, H.-L. (2004). Temporal floorplanning using 3D-subTCG. In ASP-DAC 2004: Asia and South Pacific Design Automation Conference (pp. 725–730). Yokohama, Japan.

Yuh, P.-H., Yang, C.-L., Chang, Y.-W., & Chen, H.-L. (2004). Temporal floorplanning using the T-tree formulation. In: IEEE/ACM International Conference on Computer Aided Design, 2004. ICCAD-2004 (pp. 300–305). San Jose, CA, USA.

Cong, J., Wei, J., & Zhang, Y. (2004). A thermal-driven floorplanning algorithm for 3D ICs. In IEEE/ACM International Conference on Computer Aided Design , ICCAD-2004 (pp. 306–313). San Jose, CA, USA.

Ma, Y., Hong, X., Dong, S., & Cheng, C. K. (2005). 3D CBL: An efficient algorithm for general 3D packing problems. In: 48th Midwest Symposium on Circuits and Systems (pp. 1079–1082). Covington, KY, USA.

Hong, X., Huang, G., Cai, Y., Gu, J., Dong, S., Cheng, C.-K., & Gu, J. (2000). Corner block list: an effective and efficient topological representation of non-slicing floorplan. In IEEE/ACM International Conference on Computer Aided Design. ICCAD 2000 . IEEE/ACM Digest of Technical Papers (pp. 8–12). San Jose, CA, USA

Cheng, L., Deng, L., & Wong, M. D. F. (2005). Floorplanning for 3-D VLSI design. In Proceedings of the ASP-DAC 2005. Asia and South Pacific Design Automation Conference (pp. 405–411). Shanghai, China.

Dong, S., Wang, R., Guo, F., Yuan, J., & Hong, X. (2006). Floorplanning by a revised 3-D corner block list with sub-C+-tree. In 9th Joint International Conference on Information Sciences (JCIS-06) (pp. 429–432). Atlantis Press.

Wong, E., Minz, J., & Lim, S. K. (2006). Multi-objective module placement for 3-D system-on-package. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 14 (5), 553–557.

Zhang, L., Dong, S., Hong, X., & Ma, Y. (2007). A fast 3D-BSG algorithm for 3D packing problem. In 2007 IEEE International Symposium on Circuits and Systems (pp. 2044–2047). New Orleans, LA, USA.

Li, Z., Hong, X., Zhou, Q., Zeng, S., Bian, J., Yu, W., Yang, H. H., Pitchumani, V., & Cheng, C.-K. (2007). Efficient thermal via planning approach and its application in 3-D floorplanning. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 26 (4), 645–658.

Falkenstern, P., Xie, Y., Chang, Y.-W., & Wang, Y.: Three-dimensional integrated circuits (3D IC) floorplan and power/ground network co-synthesis. In 2010 15th Asia and South Pacific Design Automation Conference (ASP-DAC) (pp. 169–174). Taipei, Taiwan.

Frantz, F., Labrak, L., & O’Connor, I. (2011). 3D-IC floorplanning: Applying meta-optimization to improve performance. In 2011 IEEE/IFIP 19th International Conference on VLSI and System-on-Chip (pp. 404–409). Hong Kong, China.

Nain, R. K., & Chrzanowska-Jeske, M. (2011). Fast placement-aware 3-D floorplanning using vertical constraints on sequence pairs. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 19 (9), 1667–1650.

Li, C., Mak, W., & Wang, T. (2013). Fast fixed-outline 3-D IC floorplanning with TSV co-placement. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 21 (3), 523–532.

Wen, C., Chen, Y., & Ruan, S. (2013). Cluster-based thermal-aware 3D-floorplanning technique with post-floorplan TTSV insertion at via-channels. In Fifth Asia Symposium on Quality Electronic Design (ASQED 2013) (pp. 200–207). Penang, Malaysia.

Khan, A. K., Vatsa, R., Roy, S., & Das, B. (2014). A new efficient topological structure for floorplanning in 3D VLSI physical design. In 2014 IEEE International Advance Computing Conference (IACC) (pp. 696–701). Gurgaon, India.

Chen, Y., & Ruan, S. (2015). A cluster-based reliability- and thermal-aware 3D floorplanning using redundant STSVs. In 2015 IFIP/IEEE International Conference on Very Large Scale Integration (VLSI-SoC) (pp. 349–354). Daejeon, Korea (South).

Quiring, A., Olbrich, M., & Barke, E. (2015). Fast global interconnnect driven 3D floorplanning. In 2015 IFIP/IEEE International Conference on Very Large Scale Integration (VLSI-SoC) (pp. 313–318). Daejeon, Korea (South).

Song, T., Panth, S., Chae, Y.-J., & Lim, S.K. (2015) Three-tier 3D ICs for more power reduction: Strategies in CAD, design, and bonding selection. In Proceedings of the IEEE/ACM International Conference on Computer-Aided Design (ICCAD ’15) (pp. 752–757). Austin, TX, USA: IEEE Press.

Chan, W. J., Kahng, A. B., & Li, J. (2016). Revisiting 3DIC benefit with multiple tiers. In Proceedings of ASP-DAC/VLSI Design 2002. 2016 ACM/IEEE International Workshop on System Level Interconnect Prediction (SLIP) (pp. 1–8). Austin, TX, USA.

Wang, R., Young, E. F. Y., & Cheng, C. (2009). Representing topological structures for 3-D floorplanning. In 2009 International Conference on Communications, Circuits and Systems (pp. 1098–1102). Milpitas, CA, USA.

Alpert, C. J. (1998). The ISPD98 circuit benchmark suite. In Proceedings of the 1998 International Symposium on Physical Design (ISPD ’98) (pp. 80–85). NY, USA: Association for Computing Machinery.

Tsai, M., Wang, T., & Hwang, T. (2011). Through-silicon via planning in 3-D floorplanning. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 19 (8), 1448–1457.

Li, J. X., Liu, W., Du, H., Wang, Y., Ma, Y., & Yang, H. (2013). Whitespace-aware TSV arrangement in 3D clock tree synthesis. In 2013 IEEE Computer Society Annual Symposium on VLSI (ISVLSI) (pp. 115–120). Natal, Brazil.

Wilkerson, P., Raman, A., & Turowski, M. (2004). Fast, automated thermal simulation of three-dimensional integrated circuits. In The Ninth Intersociety Conference on Thermal and Thermomechanical Phenomena in Electronic Systems (pp. 706–713). Las Vegas, NV, USA.

Cong, J., Luo, G., Wei, J., & Zhang, Y. (2007). Thermal-aware 3D IC placement via transformation. In 2007 Asia and South Pacific Design Automation Conference (pp. 780–785). Yokohama, Japan.

Ma, Y., Dong, S., Hong, X., Cai, Y., Cheng, C.-K., & Gu, J. (2001). VLSI floorplanning with boundary constraints based on corner block list. In Proceedings of the ASP-DAC 2001. Asia and South Pacific Design Automation Conference (pp. 509–514). Yokohama, Japan.

Young, F. Y., & Wong, D. F. (1999). Slicing floorplans with boundary constraint. In Proceedings of the ASP-DAC ’99 Asia and South Pacific Design Automation Conference (pp. 17–20). Hong Kong, China.

Nakatake, S., Fujiyoshi, K., Murata, H., & Kajitani, Y. (1996). Module placement on BSG-structure and IC layout applications. In Proceedings of International Conference on Computer Aided Design (pp. 484–491). San Jose, CA, USA.

Chang, Y.-C., Chang, Y.-W., Wu, G.-M., & Wu, S.-W. (2000). B*-trees: a new representation for non-slicing floorplans. In Proceedings 37th Design Automation Conference (pp. 458–463). Los Angeles, CA, USA.

Download references

Acknowledgments

This work is supported by I.K. Gujral Punjab Technical University, Kapurthala, India. The authors would like to extend their gratitude to the university for all the support.

Author information

Authors and affiliations.

Research Scholar, Department of Electronics and Communication Engineering, I.K. Gujral Punjab Technical University, Kapurthala, Punjab, 144603, India

Rohin Gupta

Department of Electronics and Communication Engineering, National Institute of Technical Teachers Training and Research, Chandigarh, 160019, India

Sandeep Singh Gill

You can also search for this author in PubMed   Google Scholar

Corresponding author

Correspondence to Rohin Gupta .

Editor information

Editors and affiliations.

Department of Electronics Engineering, Sardar Vallabhbhai National Institute of Technology, Surat, Gujarat, India

Anand D. Darji

Deepak Joshi

Department of Electronics and Communication Engineering, Malaviya National Institute of Technology Jaipur, Jaipur, Rajasthan, India

Department of Computer Science, Edge Hill University, Ormskirk, Lancashire, UK

Ray Sheriff

Rights and permissions

Reprints and permissions

Copyright information

© 2023 The Author(s), under exclusive license to Springer Nature Singapore Pte Ltd.

About this paper

Cite this paper.

Gupta, R., Gill, S.S. (2023). A Comprehensive Analysis in Recent Advances in 3D VLSI Floorplan Representations. In: Darji, A.D., Joshi, D., Joshi, A., Sheriff, R. (eds) Advances in VLSI and Embedded Systems. Lecture Notes in Electrical Engineering, vol 962. Springer, Singapore. https://doi.org/10.1007/978-981-19-6780-1_20

Download citation

DOI : https://doi.org/10.1007/978-981-19-6780-1_20

Published : 01 December 2022

Publisher Name : Springer, Singapore

Print ISBN : 978-981-19-6779-5

Online ISBN : 978-981-19-6780-1

eBook Packages : Engineering Engineering (R0)

Share this paper

Anyone you share the following link with will be able to read this content:

Sorry, a shareable link is not currently available for this article.

Provided by the Springer Nature SharedIt content-sharing initiative

  • Publish with us

Policies and ethics

  • Find a journal
  • Track your research

T4Tutorials.com

VLSI Research Topics Ideas [MS PhD]

List of Research Topics and Ideas of VLSI for MS and Ph.D. Thesis.

  • High-throughput VLSI architecture for soft-decision decoding with ORBGRAND
  • Approximate Pruned and Truncated Haar Discrete Wavelet Transform VLSI Hardware for Energy-Efficient ECG Signal Processing
  • ADMM-Based Infinity-Norm Detection for Massive MIMO: Algorithm and VLSI Architecture
  • Evaluating the Performances of Memristor, FinFET, and Graphene TFET in VLSI Circuit Design
  • VLSI mask optimization: From shallow to deep learning
  • Area-Delay-Power Efficient VLSI Architecture of FIR Filter for Processing Seismic Signal
  • A Novel High-Performance Hybrid Full Adder for VLSI Circuits
  • PGOpt: Multi-objective design space exploration framework for large-Scale on-chip power grid design in VLSI SoC using evolutionary computing technique
  • Testing single via related defectsin digital VLSI designs
  • An Improved Impulse Noise Removal VLSI Architecture Using DTBDM Method
  • VLSI Implementation of Multi-channel ECG Lossless Compression System
  • A Scalable VLSI Architecture for Illumination-Invariant Heterogeneous Face Recognition
  • Speed-area optimized VLSI architecture of multi-bit cellular automaton cell based random number generator on FPGA with testable logic support
  • Compact 3D Thermal Model for VLSI and ULSI Interconnect Network Reliability Verification
  • Simultaneous Parametric and Functional Testing of Digital VLSI During Radiation Experiments
  • A New 4-2 Compressor for VLSI Circuits and Systems
  • An ultra-low-power CNFET-based improved Schmitt trigger design for VLSI sensor applications
  • Performance Analysis of Clock Gating Designs in Low Power Vlsi Circuits
  • Flexible scheme for reconfiguring 2D mesh-connected VLSI subarrays under row and column rerouting
  • A Survey on VLSI Implementation of AES Algorithm with Dynamic S-Box
  • High-Throughput VLSI architecture for Soft-Decision decoding with ORBGRAND
  • Methods for Ensuring Full Traceability of the Production Testing Results of the Digital VLSI
  • Low Power Circuit Design for Footed Quasi Resistance Scheme In 45NM VLSI Technology
  • Fast Auto-Correction algorithm for Digital VLSI Circuits
  • Review of VLSI Architecture of Cryptography Algorithm for IOT Security
  • The VLSI Realization of Sign-Magnitude Decimal Multiplication Efficiency
  • Gate-Overlap Tunnel Field-Effect Transistors (GOTFETs) for Ultra-Low-Voltage and Ultra-Low-Power VLSI Applications
  • VLSI design of a fast one-stage independent component extracting system based on ICA-R algorithm
  • Fully Reused VLSI Architectu Encoding for DSRC Applica
  • VLSI Architecture for DWT using 5/3 Wavelet Coefficient using Vedic Math’s
  • Design and vlsi implementation of a decimation filter for hearing aid applications
  • Analysis and Comparison of Leakage Power Reduction Techniques for VLSI Design
  • A low area VLSI implementation of extended tiny encryption algorithm using Lorenz chaotic system
  • Study and Analysis of Digital Counters for VLSI Applications
  • Synthesis of VLSI Structural Cell Partitioning Using Genetic Algorithm
  • VLSI Architecture for 8-bit Reversible Arithmetic Logic Unit based on Programmable Gate
  • Features of Designing Digital Processing Systems for Radiolocation Systems Based on Microprocessor VLSI Sets
  • Multiple-Criteria Decision Analysis Using VLSI Global Routing
  • Performance Evaluation of VLSI Implemented WSN Algorithms
  • Soft Error Rate Estimation of VLSI Circuits
  • Wave pipelined VLSI architecture for a Viterbi decoder using self reset logic with 0.65 nm technology
  • Efficient Band Offset Calculation Method for HEVC and Its VLSI Implementation
  • 2021 IEEE 39th VLSI Test Symposium (VTS)
  • A spike based learning neuron in analog VLSI
  • Computing Orientation of an Image by Projection Method and its VLSI Implementation
  • A Greedy Iterative Algorithm and VLSI Implementation Strategy for Multiuser Detection
  • The First Ge Nanosheets GAAFET CMOS Inverters Fabricated by 2D Ge/Si Multilayer Epitaxy, Ge/Si Selective Etching
  • Novel Architecture for Lifting Discrete Wavelet Packet Transform With Arbitrary Tree Structure
  • Back-Gate Network Extraction Free from Dynamic Self-Heating in FD SOI
  • Improvement of Nanotwinned Copper Thermal Stability for High Temperature Heterogeneous Integration
  • DFT Models of Ferroelectric Hafnium-Zirconium Oxide Stacks With and Without Dielectric Interlayers
  • Selective Area Epitaxy of Axial Wurtzite-InAs Nanowire on InGaAs NW by MOCVD
  • Calculation of Field Dependent Mobility in MoS2 and WS2 with Multi-Valley Monte Carlo Method
  • Ultra-thin Hf0.5Zr0.5O2 Ferroelectric Tunnel Junction with High Current Density
  • Alleviation of Charge Trapping and Flicker Noise in HfZrO2-Based Ferroelectric Capacitors by Thermal Engineering
  • On-Wafer Electronic Layer Detectors Array (ELDA) for e-beam Imaging in Advanced Lithographic Systems
  • Contact engineered charge plasma junctionless transistor for suppressing tunneling leakage
  • Quantum Tunneling PUF: A Chip Fingerprint for Hardware Security
  • Ferroelectric and Antiferroelectric Hf/Zr oxide films: past, present and future
  • An Approach to Diminish the Leakage Power in Complementary MOS VLSI Circuits
  • Benchmarking the Performance of Heterogeneous Stacked RRAM with CFETSRAM and MRAM for Deep Neural Network Application Amidst Variation and Noise
  • Multi-bit cryogenic flash memory on Si/SiGe and Ge/GeSi heterostructures
  • Tensor-Centric Processor Architecture for Applications in Advanced Driver Assistance Systems
  • Evaluation de la complexit d’implantation en VLSI par la synth se architecturale: une exp rience en filtrage adaptatif
  • A precise debugging method and defect diagnosis with mass big-data analysis in the designed high-dense array for rapid yield improvement in a logic platform
  • Dynamic Mapping Mechanism to Compute DNN Models on a Resource-limited NoC Platform
  • Bandgap-Engineered Tunneling Layer on Operation Characteristics of Poly-Ge Charge-Trapping Flash Memory Devices
  • Reconfigurable Database Processor for Query Acceleration on FPGA
  • Holistic and In-Context Design Flow for 2.5 D Chiplet-Package Interaction Co-Optimization
  • ONNC Compiler Used in Fault-Mitigating Mechanisms Analysis on NVDLA-Based and ReRAM-Based Edge AI Chip Design
  • Quantum dot celluar automata-based encoder and priority encoder circuits: Low latency and area efficient design
  • Shutdown mode implementation for Boost and Inverting Buck-Boost converter
  • AN ELEGANCE OF A NOVEL DIGITAL FILTER USING MAJORITY LOGIC FOR SNR IMPROVEMENT IN SIGNAL PROCESSING
  • Recent Progress on Flexible Capacitive Pressure Sensors: From Design and Materials to Applications
  • Prototypage d’algorithmes adaptatifs par un outil de synthèse d’architectures VLSI.
  • ALGORITMOS PARA PROBLEMAS DE STEINER COM APLICAÇÕES EM PROJETO DE CIRCUITOS VLSI
  • An Energy-Efficient Conditional Biasing Write Assist With Built-In Time-Based Write-Margin-Tracking for Low-Voltage SRAM
  • Prospective incorporation of booster in carbon interconnects for high-speed integrated circuits
  • Laser beam testing of finished integrated circuits
  • A survey of in-spin transfer torque mram computing
  • Oxytocin modulates neural processing of mitral/tufted cells in the olfactory bulb
  • Power Efficient Bit Lines: A Succinct Study
  • Introduction: Soft Error Modeling
  • Functional Constraints in the Selection of Two-Cycle Gate-Exhaustive Faults for Test Generation
  • Adiabatic Logic-Based Area-and Energy-Efficient Full Adder Design
  • Improved Noise Margin and Reduced Power Consumption in Subthreshold Adiabatic Logic Using Dual Rail Power Supply
  • IMPROVING SIZE-BOUNDS FOR SUBCASES OF SQUARE-SHAPED SWITCHBOX ROUTING
  • Design and Performance Evaluation of Highly Efficient Adders in Nanometer Technology
  • Qualitative and quantitative analysis of parallel-prefix adders
  • 4-Bit Ripple Carry Adder Using Area-Efficient Full Adder in CMOS Technology
  • Systolic-Architecture-Based Matrix Multiplications and Its Realization for Multi-Sensor Bias Estimation Algorithms
  • BiPart: a parallel and deterministic hypergraph partitioner
  • Dealing with Aging and Yield in Scaled Technologies
  • Ultraefficient imprecise multipliers based on innovative 4: 2 approximate compressors
  • A Low Power Approach for Designing 12-Bit Current Steering DAC
  • Structure Fortification of Mixed CNT Bundle Interconnects for Nano Integrated Circuits Using Constraint-Based Particle Swarm Optimization
  • Gain-Cell Embedded DRAM Under Cryogenic Operation–A First Study
  • Communication and performance evaluation of 3-ary n-cubes onto network-on-chips
  • A New Function Mapping Approach in Defective Nanocrossbar Array Using Unique Number Sequence
  • Design, Simulation and Comparative Analysis of Performance Parameters of a 4-bit CMOS based Full Adder Circuit using Microwind and DSch at Various …
  • A Conversion Mode Reconfigurable SAR ADC for Multistandard Systems
  • Leakage-Tolerant Low-Power Wide Fan-in OR Logic Domino Circuit
  • Carver Mead:” It’s All About Thinking,” A Personal Account Leading up to the First Microwave Transistor
  • Reusable Delay Path Synthesis for Lightening Asynchronous Pipeline Controller
  • An ultra-low-power CNFET based dual VDD ternary dynamic Half Adder
  • Advanced Silicon & Semiconducting Silicon-Alloy Based Materials & Devices
  • A Novel Modeling-Attack Resilient Arbiter-PUF Design
  • Fast and Accurate Estimation of Statistical Eye Diagram for Nonlinear High-Speed Links
  • Parallel algorithms
  • Transistor self-heating: The rising challenge for semiconductor testing
  • Adaptive Forward Body Bias Voltage Generator
  • PVT Aware Analysis of ISCAS C17 Benchmark Circuit
  • Hard-to-Detect Fault Analysis in FinFET SRAMs
  • Design and comparative analysis of on-chip sigma delta ADC for signal processing applications
  • Cost-Effective Test Screening Method on 40-nm Embedded SRAMs for Low-Power MCUs
  • Passivity-based non-fragile control of a class of uncertain fractional-order nonlinear systems
  • Impact of Spacers in Raised Source/Drain 14 nm Technology Node InGaAs-nFinFET on Short Channel Effects
  • High Speed Energy Efficient Multiplier Using 20nm FinFET Technology
  • Data Flow Obfuscation: A New Paradigm for Obfuscating Circuits
  • Design and Analysis of 10T SRAM Cell with Stability Characterizations
  • Evaluation of Real-Time Embedded Systems in HILS and Delay Issues
  • Implementation and Analysis of Low Power Consumption Full Swing GDI Full Adders
  • A Comprehensive Framework for Analysis of Time-Dependent Performance-Reliability Degradation of SRAM Cache Memory
  • [HTML][HTML] X-architecture Steiner minimal tree algorithm based on multi-strategy optimization discrete differential evolution
  • A New Improved V-Square-Controlled Buck Converter With Rail-to-Rail OTA-Based Current-Sensing Circuits
  • A Very-Low-Voltage Frequency Divider in Folded MOS Current Mode Logic With Complementary n-and p-type Flip-Flops
  • Variability Analysis of On-Chip Interconnect System Using Prospective Neural Network
  • Low Power NAND Gate–based Half and Full Adder/Subtractor Using CMOS Technique
  • Synchronization of mutual coupled fractional order one-sided lipschitz systems
  • Novel Ternary Adder and Multiplier Designs Without Using Decoders or Encoders
  • Reconfigurable Binary Neural Network Accelerator with Adaptive Parallelism Scheme
  • High-Performance Spintronic Nonvolatile Ternary Flip-Flop and Universal Shift Register
  • High Voltage Receiver Using Low Voltage Devices With Reduced Dead-zone
  • Fast and High-Performing 1-Bit Full Adder Circuit Based on Input Switching Activity Patterns and Gate Diffusion Input Technique
  • Training Neural Network for Machine Intelligence in Automatic Test Pattern Generator
  • Evaluation of Bit Manipulation Instructions in Optimization of Size and Speed in RISC-V
  • Machine-learning-based self-tunable design of approximate computing
  • A novel current-controlled memristor-based chaotic circuit
  • Performance Analysis of MoS2FET for Electronic and Spintronic Application
  • Asynchronous Four-Phase and Two-Phase Circuits: Testing and Design for Testability
  • Controlling GIDL Using Core–Shell Technique in Conventional Nano-Wire
  • New FDNR and FDNC Simulation Configurations Using Inverted VDDIBAs
  • Optimal Mappings of the Spectrum of BPSK/QPSK Sequences to Finite Polynomial Fields and Rings
  • Impact of Multi-Metal Gate Stacks on the Performance of ß-Ga2O3 MOS Structure
  • On the Reliability of In-Memory Computing: Impact of Temperature on Ferroelectric TCAM
  • Design of Prominent Single-Precision 32-Bit Floating-Point Adder Using Single-Electron Transistor Operating at Room Temperature
  • HIPER: Low Power, High Performance and Area-Efficient Hardware Accelerators for Hidden Periodicity Detection using Ramanujan Filter Banks
  • A 13-bit 312.5-MS/s Pipelined SAR ADC With Open-Loop Integrator-Based Residue Amplifier and Gain-Stabilized Integration Time Generation
  • Design of a new BUS for low power reversible computation
  • Controlling Mode Transition Noise Occurred at Ground Rail in Data Preserving MTCMOS Shift Register
  • Diversity Schemes in Multi-hop Visible Light Communications for 6G Networks
  • Fabrication of Micro-Compliant Mechanisms Using Micro-Stereolithography
  • A 27S/32S DC-balanced line coding scheme for PAM-4 signaling
  • Game Theory-based Parameter-Tuning for Path Planning of UAVs
  • A Low Latency Stochastic Square Root Circuit
  • New Resistorless FDNR Simulation Configuration Employing CDDITAs
  • An Energy-Efficient Level Shifter Using Time Borrowing Technique for Ultra Wide Voltage Conversion from Sub-200mV to 3.0 V
  • Improved Store-Carry-Forward Scheme for Information Dissemination in Unfavorable Vehicular Distribution
  • Effect of surface modification treatment on top-pinned MTJ with perpendicular easy axis
  • Design and Implementation of an Efficient Mixed Parallel-Pipeline SAD Architecture for HEVC Motion Estimation
  • Negative Voltage Generator and Current DAC Based Regulator For Flash Memory
  • A non-autonomous chaotic system with no equilibrium
  • SIXOR: Single-Cycle In-Memristor XOR
  • Accelerated Addition in Resistive RAM Array Using Parallel-Friendly Majority Gates
  • Towards energy-efficient STT-MRAM design with multi-modes reconfiguration
  • HT-IWT-DCT-Based Hybrid Technique of Robust Image Watermarking
  • GPU-Accelerated Soft Error Rate Analysis of Large-Scale Integrated Circuits
  • Performance Evaluation of Sub 5 nm GAA NWMBCFET using Silicon Carbide Source/Drain Material
  • A novel ultra-low power 7T full adder design using mixed logic
  • Reversible Fade Gate as Decoder, Encoder and Full Adder
  • A novel parallel prefix adder for optimized Radix-2 FFT processor
  • Smart Soldier Health Monitoring System Incorporating Embedded Electronics
  • Theoretical Analysis of Defected Ground Multiband Rectangular Shape Microstrip Patch Antenna
  • Design of Efficient Ternary Subtractor
  • Novel CDDITA-Based-Grounded Inductance Simulation Circuits
  • Trim Time Reduction in Analog/RF ICs Based on Inter-Trim Correlation
  • Ferroelectric HfO2 Memory Transistors with High-? Interfacial Layer and Write Endurance Exceeding 1010 Cycles
  • Design and Analysis of Low-Power SRAM
  • High-speed and low-cost carry select adders utilizing new optimized add-one circuit and multiplexer-based logic
  • Selective Flip-Flop Optimization for Circuit Reliability
  • Effect of Developer Temperature on Photoresist Contrast in Grayscale Lithography
  • Power Series Representation Op logical Functions and its Applications to Error Detection and Error Correction Codes.(Dept. E)
  • Creating Fastest Self timing Reference Path for High Speed Memory Designs
  • Blockchain-enabled traceable, transparent transportation system for blood bank
  • Reliability Evaluation and Analysis of FPGA-Based Neural Network Acceleration System
  • Enhancement of ovonic threshold switching characteristics using nanometer-scale virtual electrode formed within ultrathin hafnium dioxide interlayer
  • Neural networks integrated circuit with switchable gait pattern for insect-type microrobot
  • Analog and Radio-Frequency Performance of Hetero-Gate-Dielectric FD SOI MOSFET in Re-S/D Technology
  • Stumped nature hyperjerk system with fractional order and exponential nonlinearity: Analog simulation, bifurcation analysis and cryptographic applications
  • Field-free and sub-ns magnetization switching of magnetic tunnel junctions by combining spin-transfer torque and spin–orbit torque
  • Fundamentals of microelectronics
  • Comparative Analysis of Channel Estimation Techniques in Vehicular Communication
  • Statistical analysis of vehicle detection in the ITS application for monitoring the traffic and road accident using internet of things
  • 3-D CMOS chip stacking for security ICs featuring backside buried metal power delivery networks with distributed capacitance
  • Sensor Localization in WSNs Using Rotating Directional-Antenna at the Base Station
  • A 6-Bit 1.5-GS/s SAR ADC With Smart Speculative Two-Tap Embedded DFE in 130-nm CMOS for Wireline Receiver Applications
  • FPGA implementation of fast digital FIR and IIR filters
  • Uniform 4-Stacked Ge0.9Sn0.1 Nanosheets Using Double Ge0.95Sn0.05 Caps by Highly Selective Isotropic Dry Etch
  • A 3–7 GHz CMOS Power Amplifier Design for Ultra-Wide-Band Applications
  • Fault-tolerant hamiltonian cycles and paths embedding into locally exchanged twisted cubes
  • Error-Controlling Technique in Wireless Communication
  • Human Action Recognition Using a New Hybrid Descriptor
  • Minimization of Peak-to-Average Power Ratio in DHT Precoded OFDM System by A-Law Companding
  • Machine Learning Oriented Dynamic Cost Factors-Based Routing in Communication Networks
  • Digital/Analog Performance Optimization of Vertical Nanowire FETs Using Machine Learning
  • Physical synthesis for advanced neural network processors
  • A low latency modular-level deeply integrated MFCC feature extraction architecture for speech recognition
  • On the Best-Partition Communication Complexity
  • IMPLEMENTATION OF DIVISION AND SQUARE ROOT: MODELING AND EVALUATIONS
  • Structural and Optical Analysis of Bulk-Hetero Interface Between MoS2: Pentacene
  • Realization of a Low Profile, Wideband Omni-directional Antenna for Ku-band Airborne Applications
  • Ultracompact channel add-drop filter based on single multimode nanobeam photonic crystal cavity
  • Structural and Optical Characterization of EZO Thin Film for Application in Optical Waveguide
  • Design-technology co-optimization of sequential and monolithic CFET as enabler of technology node beyond 2nm
  • A Survey of Semantic Segmentation on Biomedical Images Using Deep Learning
  • PAPR Reduction in OFDM for VLC System
  • A Survey on Proactive and Reactive Channel Switching Techniques in Cognitive Radios
  • FPGA-based Hardware Acceleration for SVM Machine Learning Algorithm
  • Cross-Layer Approximate Hardware Synthesis for Runtime Configurable Accuracy
  • A Multichannel Link-Layer Cooperation Protocol (MLCP) for Cognitive Radio Ad Hoc Network
  • AdaTrust: Combinational Hardware Trojan Detection Through Adaptive Test Pattern Construction
  • Performance Evaluation of Negative Capacitance Junctionless FinFET under Extreme Length Scaling
  • A PVT aware differential delay circuit and its performance variation due to power supply noise
  • A Survey on Methodologies and Database Used for Facial Emotion Recognition
  • A Survey Study of Diseases Diagnosed Through Imaging Methodology Using Ultrasonography
  • Special Session: Physical Attacks through the Chip Backside: Threats, Challenges, and Opportunities
  • MOS based pseudo-resistors exhibiting Tera Ohms of Incremental Resistance for biomedical applications: Analysis and proof of concept
  • Automated Simulator for the Validation of Bio-Impedance Devices
  • The Architectural Optimizations of a Low-Complexity and Low-Latency FFT Processor for MIMO-OFDM Communication Systems
  • An Optimal Design of 16 Bit ALU
  • Analysis of Power Adaptation Techniques Over Beaulieu-Xie Fading Model
  • Design and Analysis of Wearable Step-Shaped Sierpinski Fractal Antenna for WBAN Applications
  • ASSURE: RTL Locking Against an Untrusted Foundry
  • Design of Dynamic Induction Charging Vehicle for Glimpse of Future: Cutting Down the Need for High-Capacity Batteries and Charging Stations
  • Performance Analysis of Speck Cipher Using Different Adder Architectures
  • A Comparative Analysis of Statistical Model and Spectral Subtractive Speech Enhancement Algorithms
  • Dimensionality Reduction Using Principal Component Analysis for Lecture Attendance Management System
  • Design and implementation of current mode circuit for digital modulation
  • SWM: A High-Performance Sparse-Winograd Matrix Multiplication CNN Accelerator
  • A Compact IPD Based on-Chip Bandpass Filter for 5G Radio Applications
  • An automated parallel simulation flow for cyber-physical system design
  • Conformal Omni Directional Antenna for GPS Applications
  • Recognition of Natural and Computer-Generated Images Using Convolutional Neural Network
  • SPIDER-based out-of-order execution scheme for Ht-MPSOC
  • Fast Encoding Using X-Search Pattern and Coded Block Flag Fast Method
  • Design and Simulation of a Dual-Band Radiometer for Humidity and Temperature Profiling
  • Voice Controlled IoT Based Grass Cutter Powered by Solar Energy
  • Periodic Octagon Split Ring Slot Defected Ground Structure for MIMO Microstrip Antenna
  • COPRICSI: COnstraint-PRogrammed Initial Circuit SIzing
  • Design of Electronic Instrumentation for Isotope Processing
  • Fluid-to-cell assignment and fluid loading on programmable microfluidic devices for bioprotocol execution
  • Design and analysis of improved high-speed adaptive filter architectures for ECG signal denoising
  • Compact and efficient structure of 8-bit S-box for lightweight cryptography
  • Virtually Doped Silicon-on-Insulator Junctionless Transistor for Reduced OFF-State Leakage Current
  • Reliability-Driven Voltage Optimization for NCFET-based SRAM Memory Banks
  • [HTML][HTML] Design and simulation of high-performance 2: 1 multiplexer based on side-contacted FED
  • Special Session–Machine Learning in Test: A Survey of Analog, Digital, Memory, and RF Integrated Circuits
  • Enhancement of magnetic coupling and magnetic anisotropy in MTJs with multiple CoFeB/MgO interfaces for high thermal stability
  • Nonlinear Circuits and Systems with Memristors: Nonlinear Dynamics and Analogue Computing via the Flux-Charge Analysis Method
  • The Vedic Design-Carry Look Ahead (VD-CLA): A Smart and Hardware-Friendly Implementation of the FIR Filter for ECG Signal Denoising
  • Information Theory-Based Defense Mechanism Against DDOS Attacks for WSAN
  • TxSim: Modeling training of deep neural networks on resistive crossbar systems
  • Automated Observability Analysis for Mixed-Signal Circuits
  • Silicon-on-nothing electrostatically doped junctionless tunnel field effect transistor (son-ed-jltfet): A short channel effect resilient design
  • Fault Detection and Classification in Microgrid Using Wavelet Transform and Artificial Neural Network
  • [HTML][HTML] Development of neural networks chip generating driving waveform for electrostatic motor
  • Computer Laboratory
  • Soft Error Tolerant Circuit Design Using Partitioning-Based Gate Sizing
  • Recent Development in Analytical Model for Graphene Field Effect Transistors for RF Circuit Applications
  • Phenomenological CNN model of a somatosensory effects
  • Reusability and Scalability of an SoC Testbench in Mixed-Signal Verification—The Inevitable Necessity
  • Power-and area-optimized high-level synthesis implementation of a digital down converter for software-defined radio applications
  • 3–21 GHz broadband and high linearity distributed low noise amplifier
  • 64-GHz datapath demonstration for bit-parallel SFQ microprocessors based on a gate-level-pipeline structure
  • Resynthesize Technique for Soft Error-Tolerant Design of Combinational Circuits
  • FPGA implementations for data encryption and decryption via concurrent and parallel computation: A review
  • Vertically integrated computing labs using open-source hardware generators and cloud-hosted FPGAs
  • Fast shared-memory streaming multilevel graph partitioning
  • Comparison of NMOS and PMOS Input Driving Dynamic Comparator in 45nm Technology
  • Hybrid Forecasting Model Based on Nonlinear Auto-Regressive Exogenous Network, Fourier Transform, Self-organizing Map and Pattern Recognition Model for Hour …
  • Design and Implementation of Fast Locking All-Digital Duty Cycle Corrector Circuit with Wide Range Input Frequency
  • Design of Low Power Barrel Shifter Architecture by Using Proposed MUX Based CORDIC in CMOS Logic
  • Adaptive filtering algorithms in acoustic echo cancellation: a case study in architecure complexity evaluation
  • Performance improvement of elliptic curve cryptography system using low power, high speed 16× 16 Vedic multiplier based on reversible logic
  • Density Gradient Study on Junctionless Stack Nano-Sheet with Stack Gate Oxide for Low Power Application
  • All-digital built-in self-test scheme for charge-pump phase-locked loops
  • FPGA Hardware Acceleration of Soft Error Rate Estimation of Digital Circuits
  • Power-aware hold optimization for ASIC physical synthesis
  • Carbon Nanotube Field Effect Transistor (CNTFET) and Resistive Random Access Memory (RRAM) Based Ternary Combinational Logic Circuits
  • New LMI Criterion to the Robust Stability of Discrete-Time Systems with Time-Varying Delays and Generalized Overflow Nonlinearities
  • A dual-mode successive approximation register analog to digital converter to detect malicious off-chip power noise measurement attacks
  • FPGA Design of SAR Type ADC Based Analog Input Module for Industrial Applications
  • Secure energy efficient network priority routing protocol for effective data collection and key management in dynamic WSNs
  • A Highly Linear SAW-Less Noise-Canceling Receiver With Shared TIAs Architecture
  • Monolithic 3D stacked multiply-accumulate units
  • Guidance-based improved depth upsampling with better initial estimate
  • Circuit and system-level aspects of phase change memory
  • An Active, Low-Power, 10Gbps, Current-based Transimpedance Amplifier in a Broadband Optical Receiver Front-End
  • Conception de deux points mémoire statiques CMOS durcis contre l’effet des aléas logiques provoqués par l’environnement radiatif spatial
  • Carbon Nanotube Field Effect Transistor (CNTFET) and Resistive Random Access Memory (RRAM) Based Ternary Combinational Logic Circuits. Electronics 2021, 10 …
  • A CMOS-integrated compute-in-memory macro based on resistive random-access memory for AI edge devices
  • Design and Fabrication of a Polymer Microring Resonator: Polymer Microring Resonator
  • Design for Testability of Low Dropout Regulators
  • Magnonic band structure in CoFeB/Ta/NiFe meander-shaped magnetic bilayers
  • Novel Circuit Model of Multi-walled CNT Bundle Interconnects Using Multi-valued Ternary Logic
  • Higher-order Network Analysis Takes Off, Fueled by Classical Ideas and New Data
  • High-Level Synthesis of Custom DSP Blocks using Distributed Arithmetic
  • Enhancement-Mode Atomic-Layer-Deposited In2O3 Transistors With Maximum Drain Current of 2.2 A/mm at Drain Voltage of 0.7 V by Low-Temperature Annealing …
  • Design of High-Speed Binary Counter Architecture for Low-Power Applications
  • A Systematic Review on an Embedded Web Server Architecture
  • Build-in compact and efficient temperature sensor array on field programmable gate array
  • SAIF: Automated Asset Identification for Security Verification at the Register Transfer Level
  • Low power, high-performance reversible logic enabled CNTFET SRAM cell with improved stability
  • Design and Verification of Advanced Microcontroller Bus Architecture-Advanced Peripheral Bus (AMBA-APB) Protocol
  • A Reconfigurable Architecture to Implement Linear Transforms of Image Processing Applications
  • Etude du bruit électrique en 1/f et des fluctuations RTS aux basses fréquences dans le transistor MOS submicronique
  • sonal communication, June 16, 1994.
  • In-memory realization of SHA-2 using ReVAMP architecture
  • Enabling Write-Reduction Multiversion Scheme With Efficient Dual-Range Query Over NVRAM
  • Design and validation of an artificial neural network based on analog circuits
  • Insight into threshold voltage and drain induced barrier lowering in negative capacitance field effect transistor
  • The past and future of multi-gate field-effect transistors: Process challenges and reliability issues
  • A 96-MB 3D-Stacked SRAM Using Inductive Coupling With 0.4-V Transmitter, Termination Scheme and 12: 1 SerDes in 40-nm CMOS……………….. K. Shiba …
  • [HTML][HTML] A Survey on Application Specific Processor Architectures for Digital Hearing Aids
  • A Review on Performance Evaluation of Different Low Power SRAM Cells in Nano-Scale Era
  • Multilevel Hypergraph Partitioning with Vertex Weights Revisited
  • [HTML][HTML] The involution tool for accurate digital timing and power analysis
  • Design and Implementation of Fast Locking All-Digital Duty Cycle Corrector Circuit with Wide Range Input Frequency. Electronics 2021, 10, 71
  • Memristor based high speed and low power consumption memory design using deep search method
  • Comparative Analysis of Adder for Various CMOS Technologies
  • Design of Parallel Sorting System Using Discrete-Time Neural Circuit Model
  • Via-Minimization-Oriented Region Routing Under Length-Matching Constraints in Rapid Single-Flux-Quantum Circuits
  • Process Variation-Aware Soft Error Rate Estimation Method for Integrated Circuits
  • Global placement with deep learning-enabled explicit routability optimization
  • Microcomputer Application in Motion Control
  • Fault-Tolerant Application Mapping on Mesh-of-Tree based Network-on-Chip
  • Capacitance-to-Digital Converter for Operation under Uncertain Harvested Voltage down to 0.3 V with No Trimming, Reference and Voltage Regulation
  • Mixed-radix, virtually scaling-free CORDIC algorithm based rotator for DSP applications
  • A Theoretical Study of Design Rewiring Using ATPG
  • FPGA Implementation of Bio-inspired Computing Based Deep Learning Model
  • Toward Functional Safety of Systolic Array-Based Deep Learning Hardware Accelerators
  • Employing the Empirical Mode Decomposition to Denoise the Random Telegraph Noise
  • Dependence of metal gate work function variation for various ferroelectric thickness on electrical parameters in NC-FinFET
  • [HTML][HTML] A comparison of modeling approaches for current transport in polysilicon-channel nanowire and macaroni GAA MOSFETs
  • Electronically tunable third-order dual-mode quadrature sinusoidal oscillators employing VDCCs and all grounded components
  • FPGA Implementation of Radix-4-Based Two-Dimensional FFT with and Without Pipelining Using Efficient Data Reordering Scheme
  • TRENDS IN DISTRIBUTED OBJECT COM-PUTING
  • Designing a New 4: 2 compressor using an efficient multi-layer full-adder based on nanoscale quantum-Dot cellular automata
  • Introduction to Dual Mode Logic (DML)
  • 3-D IC: An Overview of Technologies, Design Methodology, and Test Strategies
  • A Novel Plaintext-Related Color Image Encryption Scheme Based on Cellular Neural Network and Chen’s Chaotic System
  • Spatial Coverage of FM Radio Signal Variation Measurement and Comparison of two Major Radio Stations within Akwa Ibom State
  • Fabrication and selective wet etching of Si0. 2Ge0. 8/Ge multilayer for Si0. 2Ge0. 8 channel gate-all-around MOSFETs
  • High-performance area-efficient polynomial ring processor for CRYSTALS-Kyber on FPGAs
  • Dynamic workload allocation for edge computing
  • Non-volatile memory behavior of interfacial InOx layer in InAs nano-wire field-effect transistor for neuromorphic application
  • A Case Study on FPGA Implementation of Parts Counting Orientation Recognition Method for Industrial Vision System
  • A Survey of FIR Filter Design Techniques: Low-complexity, Narrow Transition-band and Variable Bandwidth
  • A low-power dynamic ternary full adder using carbon nanotube field-effect transistors
  • Design and analysis of (5, 10) regular LDPC encoder using MRP technique
  • Low-Voltage DML
  • Efficient Ternary Compressor Design Using Capacitive Threshold Logic in CNTFET Technology
  • Realization of 8 x 4 Barrel shifter with 4-bit binary to Gray converter using FinFET for Low Power Digital Applications
  • Performance Efficient Floating-Point Multiplication Using Unified Adder–Subtractor-Based Karatsuba Algorithm
  • High-speed programmable photonic circuits in a cryogenically compatible, visible-NIR 200 mm CMOS architecture
  • S ntese de Alto N vel de Protocolos para a Abordagem IP sobre ATM
  • A Systematic Review of Approximate Adders: Accuracy and Performance Analysis
  • Evaluation of low power consumption network on chip routing architecture
  • Tiny robots and sensors need tiny batteries—here’s how to do it
  • Planarized Nb 4-Layer Fabrication Process for Superconducting Integrated Circuits and Its Fabricated Device Evaluation
  • Efficient FPGA architecture of optimized Haar wavelet transform for image and video processing applications
  • Gradual magnetization switching via domain nucleation driven by spin–orbit torque
  • TEM studies during development of a 4-megabit DRAM
  • Circuit Design Using Genetic Programming: An Illustrative Study
  • Machine Learning for Electronic Design Automation: A Survey
  • Design optimization of sub-5 nm node nanosheet field effect transistors to minimize self-heating effects
  • Suppression of ambipolar behavior and simultaneous improvement in RF performance of gate-overlap tunnel field effect transistor (GOTFET) devices
  • Analysis on High-Performance Full Adders
  • Features of Organizing the Process of Designing Radar Microcircuits
  • Magnetoresistive Circuits and Systems: Embedded Non-Volatile Memory to Crossbar Arrays
  • On the role of system software in energy management of neuromorphic computing
  • Introduction to nanowires: types, proprieties, and application of nanowires
  • Unveiling the impact of the bias dependent charge neutrality point on graphene based multi transistor applications
  • True Random Number Generation using Latency Variations of Commercial MRAM Chips
  • Online Test Strategies and Optimizations for Reliable Reconfigurable Architectures
  • Impact of the SiO2 interface layer on the crystallographic texture of ferroelectric hafnium oxide
  • Voltage-gate assisted spin-orbit torque magnetic random access memory for high-density and low-power embedded application
  • 1 A Programmable Neural-Network Inference Accelerator Based on Scalable In-Memory Computing
  • Shift Left Trends for Design Convergence in SOC: An EDA Perspective
  • Domain wall mobility engineering by a perpendicular magnetic field in microwires with a gradient of perpendicular anisotropy
  • Characterization of QUBO reformulations for the maximum -colorable subgraph problem
  • State of charge estimation of lithium batteries in electric vehicles using IndRNN
  • Design of AES-Based Encryption Chip for IoT Security
  • A 15-bit, 5 MSPS SAR ADC with on-chip digital calibration
  • Optimization of Low Power LNA Using PSO for UWB Application
  • Amorphous InGaZnO Thin-Film Transistors With Sub-10-nm Channel Thickness and Ultrascaled Channel Length
  • Digital Implementation of Sigmoid Function in Artificial Neural Network Using VHDL
  • Performance Analysis for Tri-Gate Junction-Less FET by Employing Trioxide and Rectangular Core Shell (RCS) Architecture
  • Design of dopingless GaN nanowire FET with Low ‘Q’for high switching and RF applications
  • Circuit Design for Non-volatile Magnetic Memory
  • Post-Moore Memory Technology: Sneak Path Current (SPC) Phenomena on RRAM Crossbar Array and Solutions
  • An Energy-Efficient UWB Transmitter with Wireless Injection Locking for RF Energy-Harvesting Sensors
  • A Novel Structure and Operation Scheme of Vertical Channel NAND Flash with Ferroelectric Memory for Multi String Operations
  • Approximate Multipliers Using Bio-Inspired Algorithm
  • Fault-Tolerant Implementation of Quantum Arithmetic and Logical Unit (QALU) Using Clifford+T-Group
  • WADE: A Web-based Automated electronic Design Environment
  • Hybrid memristor-CMOS implementation of logic gates design using LTSpice.
  • Towards Scalable Spectral Embedding and Data Visualization via Spectral Coarsening
  • Half-Select Disturb-Free 10T Tunnel FET SRAM Cell with Improved Noise Margin and Low Power Consumption
  • Impact of Trapped-Charge Variations on Scaled Ferroelectric FET Nonvolatile Memories
  • A 4-GS/s 10-ENOB 75-mW ringamp ADC in 16-nm CMOS with background monitoring of distortion
  • Realization with fabrication of double-gate MOSFET based buck regulator
  • Two-dimensional transistors with reconfigurable polarities for secure circuits
  • A NEW DESIGN OF TANGENT HYPERBOLIC FUNCTION GENERATOR WITH APPLICATION TO THE NEURAL NETWORK IMPLEMENTATIONS
  • A Power-Efficient SAR ADC with Optimized Timing-Redistribution Asynchronous SAR Logic in 40-nm CMOS
  • Klessydra-T: Designing Vector Coprocessors for Multithreaded Edge-Computing Cores
  • Electromigration in solder joints: A cross-sectioned model system for real-time observation
  • Design of Soft-Error-Aware SRAM With Multi-Node Upset Recovery for Aerospace Applications
  • M3DSSD: Monocular 3D single stage object detector
  • A ring oscillator with very low phase noise and wide frequency range using carbon nanotube technology for PLL applications
  • Towards Next Generation Robust Cryptosystems
  • Design and FPGA Synthesis of an Efficient Synchronous Counter with Clock-Gating Techniques
  • Layout dependence of total-ionizing-dose response in 65-nm bulk Si pMOSFET
  • Soft-error resilient read decoupled SRAM with multi-node upset recovery for space applications
  • On-Fly-TOD: an efficient mechanism for crosstalk fault reduction in WNoC
  • Experimental Examination of Component-Differentially-Challenged XOR PUF Circuits
  • Implementation of Neuro-Memristive Synapse for Long-and Short-Term Bio-Synaptic Plasticity
  • BiFeO3 clad modified fiber optic gas sensor for room temperature applications
  • AutoBridge: Coupling Coarse-Grained Floorplanning and Pipelining for High-Frequency HLS Design on Multi-Die FPGAs
  • Macrolide Biosensor Optimization through Cellular Substrate Sequestration
  • A design towards an energy-efficient and lightweight data security model in Fog Networks
  • Security of Neural Networks from Hardware Perspective: A Survey and Beyond
  • An Empirical Study of the Reliability of High-Level Synthesis Tools
  • Design of low-power coupled chopper instrumentation amplifier using pin pong ripple reduction for biomedical applications
  • Low Powered Self-Testable ALU
  • Nanopower multiple-input DTMOS OTA and its applications to high-order filters for biomedical systems
  • EM Lifetime Constrained Optimization for Multi-Segment Power Grid Networks
  • Approximate Array Multipliers
  • Linear k-arboricity of Caylay graphs on Abelian groups with given degree
  • ObfusX: routing obfuscation with explanatory analysis of a machine learning attack
  • FPGA-based architecture for bi-cubic interpolation: the best trade-off between precision and hardware resource consumption
  • Hardware Verification: Theory and Practice
  • Decomposition Methods of FSM Implementation
  • Word Length Selection Method for HIL power converter models
  • Review on performance analysis of P3HT: PCBM-based bulk heterojunction organic solar cells
  • Silico-Algorithmes et Arithm etique des Ordinateurs
  • Post-Moore Memory Technology: Sneak Path Current (SPC) Phenomena on RRAM Crossbar Array and Solutions. Micromachines 2021, 12, 50
  • On the Design of a Fault-Tolerant Scalable Three Dimensional NoC-Based Digital Neuromorphic System With On-Chip Learning
  • Electric Propulsion Methods for Small Satellites: A Review
  • Multi-Ferroic Properties on BiFeO3/BaTiO3 Multi-Layer Thin-Film Structures with the Strong Magneto-Electric Effect for the Application of Magneto-Electric Devices
  • A Systematic Review on Various Types of Full Adders
  • Superconducting neural networks with disordered Josephson junction array synaptic networks and leaky integrate-and-fire loop neurons
  • Benchmarking Machine Learning: How Fast Can Your Algorithms Go?
  • Optimization of zero-level interlayer dielectric materials for gate-all-around silicon nanowire channel fabrication in a replacement metal gate process
  • Multilevel Acyclic Hypergraph Partitioning*
  • Robust circuit implementation of 4-bit 4-tube CNFET based ALU at 16-nm technology node
  • Process validation test of CNTFET using Stanford model
  • Energy-aware routing considering load balancing for SDN: a minimum graph-based Ant Colony Optimization
  • Traffic sign detection optimization using color and shape segmentation as pre-processing system
  • Neuromorphic vision sensors: Principle, progress and perspectives
  • Binary Decision Diagrams
  • [HTML][HTML] Fast simulations of highly-connected spiking cortical models using GPUs
  • Dual Mode Logic in FD-SOI Technology
  • Spin–orbit torque and Dzyaloshinskii–Moriya interaction in perpendicularly magnetized heterostructures with iridium
  • On the Origin of Wake-Up and Antiferroelectric-Like Behavior in Ferroelectric Hafnium Oxide
  • Website Development for Trading Between Farmers and Government
  • Modeling and experimental analysis of an internally-cooled vapor chamber
  • Logic Synthesis of Sequential Logic Circuits for Adiabatic Quantum-Flux-Parametron Logic
  • Further stability analysis of neutral-type Cohen-Grossberg neural networks with multiple delays
  • Perspective on ferroelectric, hafnium oxide based transistors for digital beyond von-Neumann computing
  • Verilog Implementation of Biometric-Based Transmission of Fused Images Using Data Encryption Standards Algorithm
  • Learned smartphone isp on mobile npus with deep learning, mobile ai 2021 challenge: Report
  • Domain wall-magnetic tunnel junction spin–orbit torque devices and circuits for in-memory computing
  • Comparing bulk-Si FinFET and gate-all-around FETs for the 5 nm technology node
  • Enhancing Security and Trust of IoT Devices–Internet of Secured Things (IoST)
  • Dual Metal Double Gate Ge-Pocket TFET (DMG-DG-Ge-Pocket TFET) with Hetero Dielectric: DC & Analog Performance Projections
  • DML Energy-Delay Tradeoffs and Optimization
  • Analysis and Design of On-Chip RF Interconnect Line for Wideband True-Time Delay Line Application
  • RECON: Resource-efficient CORDIC-based neuron architecture
  • A compensation textures dehazing method for water alike area
  • An Efficient Hardware Architecture for Deblocking Filter in HEVC
  • Toward novel designs of reversible ternary 6: 2 Compressor using efficient reversible ternary full-adders
  • 3D-aCortex: An ultra-compact energy-efficient neurocomputing platform based on commercial 3D-NAND flash memories
  • Study and Implementation of Ladder Logic Conversion to VHDL for Field Programmable Gate Array (FPGA)-Based Programmable Logic Controllers (PLC)
  • Enhanced Lubrication Ability of Polyalphaolefin and Polypropylene Glycol by COOH-Functionalized Multiwalled Carbon Nanotubes as an Additive
  • A reliable, multi-bit error tolerant 11T SRAM memory design for wireless sensor nodes
  • [HTML][HTML] Mathematical optimization approach for facility layout on several rows
  • Mobility enhancement techniques for Ge and GeSn MOSFETs
  • Towards the development of backing layer for piezoelectric micromachined ultrasound transducers
  • EN SYNTHESE D’ARCHITECTURE
  • Implementation of Autoencoders with Systolic Arrays through OpenCL
  • Ultra-high-performance magnetic nonvolatile level converter flip-flop with spin-hall assistance for dual-supply systems with power gating architecture
  • Adaptive Deconvolution-based stereo matching Net for Local Stereo Matching
  • Investigation of thick GaAs: Cr pixel sensors for X-ray imaging applications
  • Damage in silicon after reactive ion etching
  • Unraveling the optical contrast in Sb2Te and AgInSbTe phase-change materials
  • Emerging technologies and the security of western Europe
  • An overview of biological applications and fundamentals of new inlet and vacuum ionization technologies
  • Realization of a self-powered ZnSnO MSM UV photodetector that uses surface state controlled photovoltaic effect
  • Ultra-Low Power and High-Throughput SRAM Design to Enhance AI Computing Ability in Autonomous Vehicles
  • Lowering the Schottky Barrier Height by Titanium Contact for High-Drain Current in Mono-layer MoS 2 Transistor
  • Power-Oriented Monitoring of Clock Signals in FPGA Systems for Critical Application
  • On the crossing numbers of join products of W_ {4}+ P_ {n} and W_ {4}+ C_ {n}
  • A Crystal-Less BLE Transmitter With Clock Recovery From GFSK-Modulated BLE Packets
  • Visibilidade em Poligonos utilizando algoritmos paralelos
  • Um Protocolo SR ARQ Ponto-a-Multiponto com Reconhecimento Acumulativo para Comunica cões a Altas Velocidades
  • Deep-Learning Assisted Compact Modeling of Nanoscale Transistor
  • Proposed pipeline clocking scheme for microarchitecture data propagation delay minimization
  • Ultralow-loss silicon nitride waveguides for nonlinear optics
  • [HTML][HTML] Benchmarking monolayer MoS 2 and WS 2 field-effect transistors
  • Phase Change Random Access Memory for Neuro-Inspired Computing
  • Security of Emerging Memory Chips
  • Study on Power Minimization techniques in SAR ADC Devices by Using Comparators Circuits
  • Built-In Self-Test (BIST) Methods for MEMS: A Review
  • AXON: NETWORK VIRTUAL STORAGE DESIGNz
  • IOT-HARPSECA: A Secure Design and Development System of Roadmap for Devices and Technologies in IOT Space
  • [HTML][HTML] High performance IIR filter implementation on FPGA
  • Terrestrial precise positioning system using carrier phase from burst signals and optically distributed time and frequency reference
  • Generation of Pseudorandom Sequence Using Regula-Falsi Method
  • A fractional-order CNN hyperchaotic system for image encryption algorithm
  • Genfloor: Interactive generative space layout system via encoded tree graphs
  • Our Perspectives
  • Transformations of Rectangular Dualizable Graphs
  • High-speed CMOS-compatible III-V on Si membrane photodetectors
  • Configurable DSI partitioned approximate multiplier
  • Stacking faults and precipitates in annealed and co-sputtered C49 TiSi2 films
  • Trading-o Power versus Area through a Parameterizable Model for Virtual Memory Manage
  • Reconfigurable Carry Look-Ahead Adder Trading Accuracy for Energy Efficiency
  • Internet Rescue Robots for Disaster Management [J]
  • Reliable advanced encryption standard hardware implementation: 32-bit and 64-bit data-paths
  • A new opportunity for the emerging tellurium semiconductor: resistive switching device implementation
  • [HTML][HTML] Simulation and experimental verification of modified sinusoidal pulse width modulation technique for torque ripple attenuation in Brushless DC motor drive
  • Ordered Binary Decision Diagrams, Gaussian Elimination and Graph Theory
  • Monitor Circuits for Cross-Layer Resiliency
  • TSV Fault Contactless Testing Method Based on Group Delay
  • [HTML][HTML] An Efficient Design of QCA Full-Adder-Subtractor with Low Power Dissipation
  • EBIC diffusion length of dislocated silicon
  • A 1-MS/s to 1-GS/s ringamp-based pipelined ADC with fully dynamic reference regulation and stochastic scope-on-chip background monitoring in 16 nm
  • A 1.93-pJ/Bit PCI Express Gen4 PHY Transmitter with On-Chip Supply Regulators in 28 nm CMOS
  • Influence of High-Pressure Annealing Conditions on Ferroelectric and Interfacial Properties of Zr-Rich Hf?Zr1??O2Capacitors
  • Fault-based Built-in Self-test and Evaluation of Phase Locked Loops
  • Field-programmable gate arrays in a low power vision system
  • On undirected two-commodity integral flow, disjoint paths and strict terminal connection problems
  • Scheduling Conditional Nested Loops in a Resource Constrained ASIC Design
  • Reliability-Aware Multipath Routing of Time-Triggered Traffic in Time-Sensitive Networks
  • Time-domain computing in memory using spintronics for energy-efficient convolutional neural network
  • End-to-End Data Architecture Considerations for IoT
  • Covering problem on fuzzy graphs and its application in disaster management system
  • A Time-Frequency Measurement and Evaluation Approach for Body Channel Characteristics in Galvanic Coupling Intrabody Communication
  • Crosstalk minimization in network on chip (NoC) links with dual binary weighted code CODEC
  • A physical model for bulk gate insulator trap generation during bias-temperature stress in differently processed p-channel FETs
  • On the capabilities of Cellular Automata-based MapReduce model in Industry 4.0
  • Rail-to-rail dynamic voltage comparator scalable down to pw-range power and 0.15-v supply
  • In situ microsectioning and imaging of semiconductor devices using a scanning ion microscope
  • Estimation Probabiliste des Ressources, pour la synth ese d’Architectures
  • Improved design debugging architecture using low power serial communication protocols for signal processing applications
  • An enhanced cost-aware mapping algorithm based on improved shuffled frog leaping in network on chips
  • Single Event Transient (SET) Mitigation Circuits With Immune Leaf Nodes
  • A Period-Aware Routing Method for IEEE 802.1 Qbv TSN Networks
  • Special session: Reliability analysis for ML/AI hardware
  • The Japanese fifth generation computing project: curricular applications
  • Proposal for ultrafast all-optical pseudo random binary sequence generator using microring resonator-based switches
  • Hardware/Software Codesign for Energy Efficiency and Robustness: From Error-Tolerant Computing to Approximate Computing
  • TAAL: tampering attack on any key-based logic locked circuits
  • Hardware Trojan Prevention and Detection by Filling Unused Space Using Shift registers, Gate-chain and Extra Routing.
  • Quiet 2-Level Adiabatic Logic
  • Towards a DML Library Characterization and Design with Standard Flow
  • Sedenionic formulation for the field equations of multifluid plasma
  • Design and analysis of double-gate junctionless vertical TFET for gas sensing applications
  • Shared-Memory n-level Hypergraph Partitioning
  • An Improved Adaptive Genetic Algorithm for Two-Dimensional Rectangular Packing Problem
  • [HTML][HTML] Neuromorphic model of reflex for realtime human-like compliant control of prosthetic hand
  • Memory applications from 2D materials
  • Fast multipole method for 3-D Laplace equation in layered media
  • Dielectric spectroscopy and electrical conductivity measurements of a series of orthoconic antiferroelectric liquid crystalline esters
  • The unified modeling language reference manual
  • Design of a 2–30 GHz Low-Noise Amplifier: A Review
  • Compact Modeling of Multidomain Ferroelectric FETs: Charge Trapping, Channel Percolation, and Nucleation-Growth Domain Dynamics
  • Early Detection of Prediabetes and T2DM Using Wearable Sensors and Internet-of-Things-Based Monitoring Applications
  • Road surface detection and differentiation considering surface damages
  • Deep learning-based feature extraction and optimizing pattern matching for intrusion detection using finite state machine
  • 2 An EM/Power SCA-Resilient AES-256 with Synthesizable Signature Attenuation Using Digital-Friendly Current Source and RO-Bleed-Based Integrated Local …
  • Uniform Crystal Formation and Electrical Variability Reduction in Hafnium-Oxide-Based Ferroelectric Memory by Thermal Engineering
  • REVIEW ON RUDIMENTS OF DIGITAL IMAGE PROCESSING
  • Computer simulation of X-ray topographs of curved silicon crystals
  • The analog/RF performance of a strained-Si graded-channel dual-material double-gate MOSFET with interface charges
  • Detecting Signature of Virus Using Metamaterial-Based One-Dimensional Multi-layer Photonic Crystal Structure Under Polarized Incidence
  • A DTMOS-based power efficient recycling folded cascode operational transconductance amplifier
  • Block coordinate descent based algorithm for computational complexity reduction in multichannel active noise control system
  • RRAM-Based Neuromorphic Computing Systems
  • analysis and Simulation of Schottky tunneling using Schottky barrier FET with 2-D analytical modeling
  • Investigation of Multiple-valued Logic Technologies for Beyond-binary Era
  • Structure and substructure connectivity of alternating group graphs
  • Power and area efficient stochastic artificial neural networks using spin–orbit torque-based true random number generator
  • Improvised hierarchy of Floating Point Multiplication using 5: 3 Compressor
  • Research on digital image watermark encryption based on hyperchaos
  • Calibration of WLI Lateral Indication Error with 2D Micro/Nano Pitch Standard
  • Implementation of Autoencoders with Systolic Arrays through OpenCL. Electronics 2021, 10, 70
  • State-of-the-Art TFET Devices
  • 1 A 6.2 GHz Single Ended Current Sense Amplifier (CSA) Based Compileable 8T SRAM in 7nm FinFET Technology
  • Resilient and Secure Hardware Devices Using ASL
  • 6 A 5-to-6GHz Current-Mode Subharmonic Switching Digital Power Amplifier for Enhancing Power Back-Off Efficiency
  • Comparative Analysis of Rapid Single Flux Quantum (RSFQ) Circuit Technique Multipliers
  • BiCoSS: toward large-scale cognition brain with multigranular neuromorphic architecture
  • Analysis of subthreshold swing in junctionless double gate MOSFET using stacked high-k gate oxide.
  • TAN modelling of HH-shape microstrip interconnect tree
  • Improving efficiency in neural network accelerator using operands hamming distance optimization
  • Thickness of the subgroup intersection graph of a finite group [J]
  • A 189×600 Back-Illuminated Stacked SPAD Direct Time-of-Flight Depth Sensor for Automotive LiDAR Systems
  • A Fully Integrated 2.7 µW-70.2 dBm-Sensitivity Wake-Up Receiver with Charge-Domain Analog Front-End,-16.5 dB-SIR, FEC and Cryptographic Checksum
  • Learning complexity of simulated annealing
  • General Efficient TMR for Combinational Circuit Hardening Against Soft Errors and Improved Multi-Objective Optimization Framework
  • High Current Density in Monolayer MoS2 Doped by AlOx
  • A general semantics for logics of affirmation and negation
  • Symmetric-Mapping LUT-Based Method and Architecture for Computing XY-Like Functions
  • Modelling and Design of 5T, 6T and 7T SRAM Cell Using Deep Submicron CMOS Technology
  • [HTML][HTML] Coupled VO2 oscillators circuit as analog first layer filter in convolutional neural networks
  • Design of CMOS 6T and 8T SRAM for Memory Applications
  • Brain-inspired golden chip free hardware trojan detection
  • S ntese L ogica do Protocolo IPv6: Resultado de uma Metodologia visando o Projeto de Protocolos em Hardware
  • Influence of exposure energy and heat treatment conditions on through-glass via metallization of photoetchable glass interposers
  • A 0.8 V multimode vision sensor for motion and saliency detection with ping-pong PWM pixel
  • Machine Learning for Statistical Modeling: The Case of Perpendicular Spin-Transfer-Torque Random Access Memory
  • A 22nm 4Mb 8b-Precision ReRAM Computing-in-Memory Macro with 11.91 to 195.7 TOPS/W for Tiny AI Edge Devices
  • Electron beam induced artefact during TEM and Auger analysis of multilayer dielectrics
  • Binary precision neural network manycore accelerator
  • Deep learning-driven simultaneous layout decomposition and mask optimization

Computer Science Research Topics – MS PhD

 

Related Posts:

  • VLSI Design MCQs
  • How many transistors are in LSI, VLSI, ULSI?
  • information visualization Research Topics Ideas [MS PhD]
  • Molecular Computing Research Topics Ideas [MS PhD]
  • Software Security Research Topics Ideas [MS PhD]
  • Stochastic Networks Research Topics Ideas [MS PhD]

You must be logged in to post a comment.

More From Forbes

3 topics to avoid at work—and why politics may not be one of them.

  • Share to Facebook
  • Share to Twitter
  • Share to Linkedin

Divided American political groups and United States culture war between conservative society and ... [+] liberal ideas as an election debate or US voter divisions.

Navigating conversations at work can be a delicate balancing act to say the least. Most people are too cautious to engage in certain hot-button topics, but those are the ones that might actually be shaping our professional reputation and relationships. While many mentors will tell you to steer clear of controversial subjects, avoiding all potentially polarizing issues, particularly politics, may not be the best strategy.

If you’ve paid any attention to Taylor Swift over the last decade you may have noticed her lack of outward alignment with any particular party. Until 2018, when Swift publicly endorsed the 2020 democratic nominee, Joe Biden, many were left to speculate on her views—leaving some to assume she was aligned with Trump.

This was no surprise to Alex Shaw , associate professor of psychology at the University of Chicago, and Ike Silver , an assistant professor of marketing at the Kellogg School, because according to their research , declining to take a stance can sometimes be more damaging than it would be if you engaged thoughtfully. So, let’s explore why avoiding politics might be counterproductive and identify the three topics that are really best to leave out of workplace discussions.

Why Avoiding the Topic of Politics Can Backfire

Conventional wisdom suggests that discussing politics at work is a recipe for conflict. However, Silver and Shaw’s research indicates that staying completely neutral on political and social issues can actually stoke the fire of speculation about your beliefs. Silver found that people who avoid taking a stance are often perceived as calculating and deceptive rather than principled or genuinely neutral, which can inspire mistrust. Audiences often regard those who try to take a “ swiss neutrality ” public stance as lacking in authenticity.

Why “staying out of it” doesn’t work

Staying out of political discussions might seem like a safe bet, but it can be a losing move for several reasons:

WWE SmackDown Results, Winners And Grades On August 2, 2024

Today’s nyt mini crossword clues and answers for saturday, august 2nd, friday, august 2. russia’s war on ukraine: news and information from ukraine.

Perceived Inauthenticity : When you consistently dodge taking a stance, colleagues might see you as insincere or untrustworthy. Authenticity is a valued trait in the workplace, and appearing evasive can damage your professional relationships.

Missed Opportunities for Connection : Engaging in thoughtful political discussions can help you connect with colleagues who share similar values. These connections can be valuable for building a supportive network and fostering a collaborative work environment.

Reputation Risk : By avoiding political discussions, you risk being perceived as disengaged or indifferent to important social issues. This perception can harm your reputation, particularly in organizations that value social responsibility and advocacy.

What You Should Do Instead

Rather than avoiding political discussions altogether, consider these strategies:

Be Thoughtful and Respectful : Engage in political discussions with respect and an open mind, and only when asked about your position. Focus on understanding different perspectives rather than winning an argument. It’s probably best to leave and politically affiliated clothes or merchandise at home as well.

Set Boundaries : It’s okay to set boundaries and choose which political topics to engage in. If a discussion becomes too heated or uncomfortable, it’s appropriate to steer the conversation back to a more neutral topic.

Stay Informed : Make sure you are well-informed about the political issues you discuss. This preparation will help you contribute meaningfully and avoid spreading misinformation.

The 3 real topics to avoid at work

1. personal finances.

Discussing personal finances, including salaries, debt, and investments, can create unnecessary tension and jealousy among colleagues. Conversations about money can quickly become competitive or lead to feelings of inadequacy and resentment.

2. Criticizing Management or Company Policies

Openly criticizing management or company policies can be perceived as unprofessional and can damage your standing within the organization. While constructive feedback is important, it should be delivered in an appropriate forum and manner.

Talking about sex in the workplace opens the door to potentially inappropriate comments and innuendos because even well-intentioned remarks can be easily misconstrued, leading to uncomfortable situations and strained professional relationships. By avoiding this sensitive, and deeply personal topic, you contribute to a more respectful and inclusive work environment, which is essential for professional success.

We all know that navigating workplace conversations requires a thoughtful approach, but while it might seem smart to avoid all controversial topics, doing so can sometimes backfire and damage your reputation. Thoughtful engagement can actually enhance your professional relationships and authenticity, but keep in mind there are certain topics that are best to avoid. Engaging in political discussions with respect and boundaries can enhance your reputation; while avoiding other sensitive topics can help you maintain a positive professional image.

Elizabeth Pearson

  • Editorial Standards
  • Reprints & Permissions

Join The Conversation

One Community. Many Voices. Create a free account to share your thoughts. 

Forbes Community Guidelines

Our community is about connecting people through open and thoughtful conversations. We want our readers to share their views and exchange ideas and facts in a safe space.

In order to do so, please follow the posting rules in our site's  Terms of Service.   We've summarized some of those key rules below. Simply put, keep it civil.

Your post will be rejected if we notice that it seems to contain:

  • False or intentionally out-of-context or misleading information
  • Insults, profanity, incoherent, obscene or inflammatory language or threats of any kind
  • Attacks on the identity of other commenters or the article's author
  • Content that otherwise violates our site's  terms.

User accounts will be blocked if we notice or believe that users are engaged in:

  • Continuous attempts to re-post comments that have been previously moderated/rejected
  • Racist, sexist, homophobic or other discriminatory comments
  • Attempts or tactics that put the site security at risk
  • Actions that otherwise violate our site's  terms.

So, how can you be a power user?

  • Stay on topic and share your insights
  • Feel free to be clear and thoughtful to get your point across
  • ‘Like’ or ‘Dislike’ to show your point of view.
  • Protect your community.
  • Use the report tool to alert us when someone breaks the rules.

Thanks for reading our community guidelines. Please read the full list of posting rules found in our site's  Terms of Service.

research topics in vlsi

New textbook provides a fresh perspective on modern power systems

August 2, 2024

By Wayne Gillam / UW ECE News

UW ECE Professor Daniel Kirschen stands in front of a wall of books in his office.

This fall, a new textbook by UW ECE Professor Daniel Kirschen (above) will be introduced into the UW ECE power and energy systems undergraduate curriculum. “Power Systems: Fundamental Concepts and the Transition to Sustainability” helps students learn the ins and outs of how power systems work as well as the fundamental constraints that guide design and operation of these complex technologies. Photo by Ryan Hoover / UW ECE

This fall, a new textbook providing students with an up-to-date overview of modern power systems will be introduced into the UW ECE power and energy systems undergraduate curriculum. “ Power Systems: Fundamental Concepts and the Transition to Sustainability ” helps students learn the ins and outs of how power systems work as well as the fundamental constraints that guide design and operation of these complex technologies. The textbook will also help to prepare students to engineer power systems capable of incorporating large amounts of renewable energy into electrical grids, which supports efforts across the globe aimed at protecting the environment and addressing climate change. This textbook is on-track to become an integral part of the UW ECE power and energy systems research and education program, which is viewed by academics and professionals alike to be one of the best of its kind in the nation and in the world.

The textbook was written by Daniel Kirschen , who is the Donald W. and Ruth Mary Close Endowed Professor in Electrical Engineering at UW ECE. Kirschen is a member of the Clean Energy Institute at the UW; is an Institute of Electrical and Electronics Engineers (IEEE) Fellow; is the editor in chief of the journal IEEE Transactions on Energy Markets, Policy and Regulation; and he is known internationally for his power and energy systems research at the Renewable Energy Analysis Lab . He was recently elected to the Washington State Academy of Sciences in recognition of his work on the integration of renewable energy sources into electrical grids. Kirschen is also well known for co-authoring “ Fundamentals of Power System Economics ,” a textbook that is considered to be essential reading for graduate students and practicing engineers working with power systems.

Over the last few years, Kirschen noticed that power systems textbooks for undergraduate students were becoming outdated.

“The existing textbooks for undergraduate students did not cover material that students need to know to be prepared to support the transition to sustainable power systems,” Kirschen said. “My new textbook provides a more recent, modern perspective on power systems. It also acknowledges that things are changing and will continue to change for several years.”

An undergraduate textbook based on UW ECE curriculum

The cover of UW ECE Professor Daniel Kirschen's new textbook, “Power Systems: Fundamental Concepts and the Transition to Sustainability”

Kirschen’s textbook will help to prepare students to engineer power systems capable of incorporating large amounts of renewable energy into electrical grids, which supports efforts across the globe aimed at protecting the environment and addressing climate change.

This new textbook is based on courses Kirschen teaches at UW ECE. He said that the book also encapsulates the way power and energy systems are taught in the Department by a wide range of outstanding instructors. Other professors that teach about power and energy systems at UW ECE include Jungwon Choi , Scott Dunham , June Lukuyu , Alex Mamishev , Joshua Smith , and Baosen Zhang . Both Lukuyu and Zhang contributed to the textbook.

Kirschen’s textbook covers important topics that are essential to understanding power systems, such as the modeling of power system components, power flow, fault calculations, and maintaining power system stability. In addition, the textbook includes a detailed discussion of the demand for electricity and how that affects the operation of power systems, an overview of the various forms of conventional and renewable energy conversion, a primer on electronic power conversion, a careful analysis of the technical and economic issues involved in load generation balancing, and an introduction to electricity markets.

Kirschen said that he wrote the textbook with the intent of explaining not only the “what” and the “how” about power systems but also the “why.” This approach helps the reader better understand standard processes and procedures used in power systems and provides a knowledge base for developing solutions to modern problems resulting from rapid change. He aimed to keep the topics and the language he used accessible to undergraduate students.

“I’ve written a textbook in a very student-friendly manner, trying to keep the explanations very clear,” Kirschen said. “I focused first on providing students with an intuitive understanding of the material and then related it to the more rigorous matters later, after they have acquired this more intuitive understanding.”

On the horizon — an updated textbook for graduate students

The cover of Kirschen's graduate-level textbook, "Fundamentals of Power System Economics, second edition"

Kirschen is also working on the third edition of his popular textbook for graduate students, “Fundamentals of Power System Economics.”

Now that he has completed this undergraduate-level textbook, and it is making its way into UW ECE curriculum, Kirschen has begun working on the third edition of his textbook for graduate students (mentioned above). He anticipates that this updated version will be published within the next couple of years. He noted that power systems economics has been very much affected by the increasing reliance on renewable energy sources, and the landscape has changed greatly since the last edition of his graduate-level textbook, which was published in 2019.

“The world of power systems is changing rapidly, and so there is a tremendous demand from industry, government, and nonprofit organizations for people who understand our systems and could help drive these changes. Our responsibility as educators is to provide students with an education that provides them with the basics and the ability to understand and solve new problems,” Kirschen said. “The basic principles remain the same, but the applications keep changing so fast. Really being on top of the new research and new ideas and being able to incorporate those in your teaching is essential.”

Learn more about UW ECE Professor Daniel Kirschen on his bio page . More information about the Department’s power and energy systems research , graduate program , and undergraduate curriculum is located on our website.

Be boundless

© 2024 University of Washington | Seattle, WA

Suggestions or feedback?

MIT News | Massachusetts Institute of Technology

  • Machine learning
  • Sustainability
  • Black holes
  • Classes and programs

Departments

  • Aeronautics and Astronautics
  • Brain and Cognitive Sciences
  • Architecture
  • Political Science
  • Mechanical Engineering

Centers, Labs, & Programs

  • Abdul Latif Jameel Poverty Action Lab (J-PAL)
  • Picower Institute for Learning and Memory
  • Lincoln Laboratory
  • School of Architecture + Planning
  • School of Engineering
  • School of Humanities, Arts, and Social Sciences
  • Sloan School of Management
  • School of Science
  • MIT Schwarzman College of Computing

Physicists report new insights into exotic particles key to magnetism

Press contact :.

Molecule with six atoms. Nickel is labeled at the center of each, and two are highlighted with red arrows that say "exciton hopping"

Previous image Next image

MIT physicists and colleagues report new insights into exotic particles key to a form of magnetism that has attracted growing interest because it originates from ultrathin materials only a few atomic layers thick. The work, which could impact future electronics and more, also establishes a new way to study these particles through a powerful instrument at the National Synchrotron Light Source II at Brookhaven National Laboratory.

Among their discoveries, the team has identified the microscopic origin of these particles, known as excitons. They showed how they can be controlled by chemically “tuning” the material, which is primarily composed of nickel. Further, they found that the excitons propagate throughout the bulk material instead of being bound to the nickel atoms.

Finally, they proved that the mechanism behind these discoveries is ubiquitous to similar nickel-based materials, opening the door for identifying — and controlling — new materials with special electronic and magnetic properties.

The open-access results are reported in the July 12 issue of Physical Review X .

“We’ve essentially developed a new research direction into the study of these magnetic two-dimensional materials that very much relies on an advanced spectroscopic method, resonant inelastic X-ray scattering (RIXS), which is available at Brookhaven National Lab,” says Riccardo Comin, MIT’s Class of 1947 Career Development Associate Professor of Physics and leader of the work. Comin is also affiliated with the Materials Research Laboratory and the Research Laboratory of Electronics.

Comin’s colleagues on the work include Connor A. Occhialini, an MIT graduate student in physics, and Yi Tseng, a recent MIT postdoc now at Deutsches Elektronen-Synchrotron (DESY). The two are co-first authors of the Physical Review X paper.

Additional authors are Hebatalla Elnaggar of the Sorbonne; Qian Song, a graduate student in MIT’s Department of Physics; Mark Blei and Seth Ariel Tongay of Arizona State University; Frank M. F. de Groot of Utrecht University; and Valentina Bisogni and Jonathan Pelliciari of Brookhaven National Laboratory.

Ultrathin layers

The magnetic materials at the heart of the current work are known as nickel dihalides. They are composed of layers of nickel atoms sandwiched between layers of halogen atoms (halogens are one family of elements), which can be isolated to atomically thin layers. In this case, the physicists studied the electronic properties of three different materials composed of nickel and the halogens chlorine, bromine, or iodine. Despite their deceptively simple structure, these materials host a rich variety of magnetic phenomena.

The team was interested in how these materials’ magnetic properties respond when exposed to light. They were specifically interested in particular particles — the excitons — and how they are related to the underlying magnetism. How exactly do they form? Can they be controlled?

Enter excitons

A solid material is composed of different types of elementary particles, such as protons and electrons. Also ubiquitous in such materials are “quasiparticles” that the public is less familiar with. These include excitons, which are composed of an electron and a “hole,” or the space left behind when light is shone on a material and energy from a photon causes an electron to jump out of its usual position.

Through the mysteries of quantum mechanics, however, the electron and hole are still connected and can “communicate” with each other through electrostatic interactions. This interaction leads to a new composite particle formed by the electron and the hole — an exciton.

Excitons, unlike electrons, have no charge but possess spin. The spin can be thought of as an elementary magnet, in which the electrons are like little needles orienting in a certain way. In a common refrigerator magnet, the spins all point in the same direction. Generally speaking, the spins can organize in other patterns leading to different kinds of magnets. The unique magnetism associated with the nickel dihalides is one of these less-conventional forms, making it appealing for fundamental and applied research.

The MIT team explored how excitons form in the nickel dihalides. More specifically, they identified the exact energies, or wavelengths, of light necessary for creating them in the three materials they studied.

“We were able to measure and identify the energy necessary to form the excitons in three different nickel halides by chemically ‘tuning,’ or changing, the halide atom from chlorine to bromine to iodine,” says Occhialini. “This is one essential step towards understanding how photons — light — could one day be used to interact with or monitor the magnetic state of these materials.” Ultimate applications include quantum computing and novel sensors.

The work could also help predict new materials involving excitons that might have other interesting properties. Further, while the studied excitons originate on the nickel atoms, the team found that they do not remain localized to these atomic sites. Instead, “we showed that they can effectively hop between sites throughout the crystal,” Occhialini says. “This observation of hopping is the first for these types of excitons, and provides a window into understanding their interplay with the material’s magnetic properties.”

A special instrument

Key to this work — in particular for observing the exciton hopping — is resonant inelastic X-ray scattering (RIXS), an experimental technique that co-authors Pelliciari and Bisogni helped pioneer. Only a few facilities in the world have advanced high energy resolution RIXS instruments. One is at Brookhaven. Pelliciari and Bisogni are part of the team running the RIXS facility at Brookhaven. Occhialini will be joining the team there as a postdoc after receiving his MIT PhD.

RIXS, with its specific sensitivity to the excitons from the nickel atoms, allowed the team to “set the basis for a general framework for nickel dihalide systems,” says Pelliciari. “it allowed us to directly measure the propagation of excitons.”

This work was supported by the U.S. Department of Energy Basic Energy Science and Brookhaven National Laboratory through the Co-design Center for Quantum Advantage (C2QA), a DoE Quantum Information Science Research Center.

Share this news article on:

Related links.

  • Riccardo Comin
  • Comin Photon Scattering Lab
  • Materials Research Laboratory
  • Department of Physics

Related Topics

  • Electronics
  • Quantum computing
  • Research Laboratory of Electronics
  • Department of Energy (DoE)

Related Articles

Decorative image shows a bending rainbow lattice on black background.

Physicists discover a new switch for superconductivity

The flat tips of two diamonds compress an atomically thin material, represented abstractly.

International team reports powerful tool for studying, tuning atomically thin materials

Visualization of electronic structure appears as two 6-pointed stars merged together

Physicists discover “secret sauce” behind exotic properties of a new quantum material

Photo of Jonathan Pelliciari in a lab, wearing a face mask and holding onto a large instrument with many metal knobs and wires

Physicists uncover secrets of world’s thinnest superconductor

antiferromagnetism device

Physicists find a novel way to switch antiferromagnetism on and off

Previous item Next item

More MIT News

A student scientist looks through a microscope in the lab.

Empowering the next generation of scientists in Africa

Read full story →

Moon dust falls through the hands of an astronaut

Scientists pin down the origins of the moon’s tenuous atmosphere

Earth and its atmosphere from space

Scientists find a human “fingerprint” in the upper troposphere’s increasing ozone

Exterior of the two-story glass Building 55 atrium extends from the concrete 21-story Building 54 tower, reflecting the sky and trees.

A bright and airy hub for climate at MIT

A collage of nine headshots of new SHASS faculty arranged in two rows

School of Humanities, Arts, and Social Sciences welcomes nine new faculty

At left, Cynthia Breazeal and two mentees converse in a lab. At right, Ming Guo sits and is surrounded by graduate students

From large labs to small teams, mentorship thrives

  • More news on MIT News homepage →

Massachusetts Institute of Technology 77 Massachusetts Avenue, Cambridge, MA, USA

  • Map (opens in new window)
  • Events (opens in new window)
  • People (opens in new window)
  • Careers (opens in new window)
  • Accessibility
  • Social Media Hub
  • MIT on Facebook
  • MIT on YouTube
  • MIT on Instagram

IEEE Account

  • Change Username/Password
  • Update Address

Purchase Details

  • Payment Options
  • Order History
  • View Purchased Documents

Profile Information

  • Communications Preferences
  • Profession and Education
  • Technical Interests
  • US & Canada: +1 800 678 4333
  • Worldwide: +1 732 981 0060
  • Contact & Support
  • About IEEE Xplore
  • Accessibility
  • Terms of Use
  • Nondiscrimination Policy
  • Privacy & Opting Out of Cookies

A not-for-profit organization, IEEE is the world's largest technical professional organization dedicated to advancing technology for the benefit of humanity. © Copyright 2024 IEEE - All rights reserved. Use of this web site signifies your agreement to the terms and conditions.

Numbers, Facts and Trends Shaping Your World

Read our research on:

Full Topic List

Regions & Countries

  • Publications
  • Our Methods
  • Short Reads
  • Tools & Resources

Read Our Research On:

Trust in America: Do Americans trust the police?

The relationship between the public and police across the United States was brought into sharp focus over the course of 2020 and 2021 following the high-profile killings of several Black Americans by police, including George Floyd and Breonna Taylor, and the worldwide protests that followed. In this episode of our Trust in America video series, our researchers discuss Americans’ trust in police, how views of and experiences with policing vary across political and demographic lines, and how Americans feel about proposals for police reform.

More Trust in America videos

[Intro] Trust in America, in institutions, in each other is essential to the functioning of U.S. democracy. Yet today, trust is declining. So what impact does this have on American society? In this episode, Jocelyn Kiley and Kim Parker help explain trust in police and views of police reforms.

[Jocelyn Kiley] The relationship between the public and police across the country, while not a new issue, was brought into sharp focus over the course of 2020 and 2021. Throughout the summer and fall of 2020, protests around the U.S. put the issue front and center, following the killings of several Black Americans by police, in particular, the murder of George Floyd by police officer Derek Chauvin, who was convicted in the spring of 2021. When we look at public opinion on police and policing in our surveys, we find that views of and experiences with policing vary substantially across political and demographic lines.

[Kim Parker] Yes, in November of 2020, we asked American adults how much confidence they have in police to act in the best interests of the public. And what we found was that most said they have at least some confidence, with 26% saying they have a great deal of confidence. There are a few major fault lines that I would point to in looking at these views, and race and ethnicity is one of them. White adults are much more likely than Black or Hispanic adults to say that they have a great deal of confidence in the police. There are also significant differences by age. Young adults are much less trusting of police than middle-aged and older adults. And the views are also deeply divided by party identification. About four-in-ten Republicans and Republican leaners have a great deal of confidence in the police, and that compares with only 13% of Democrats and independents who lean to the Democratic party.

[Jocelyn Kiley] So we know these differences in attitudes can result from a lot of different dynamics, but one is certainly personal experience.

[Kim Parker] We asked people about some of the different ways they may have been discriminated against because of their race or ethnicity across different realms of life. And one of the things that we asked about was whether they had ever been unfairly stopped by the police. What we found was that almost half of Black adults said that this had happened to them, including about two-thirds of Black men. And by comparison, 19% of Hispanic adults and only 9% of white adults said that this has happened to them. And I think these gaps in experience give us some real insight into why Black adults feel the way they do about the police and also why they might want to see changes in the way that police do their jobs.

[Jocelyn Kiley] So in our summer 2020 survey, we found a broad base of support for a number of proposals about policing. The public overwhelmingly backs requiring training in nonviolent alternatives to deadly force, a federal government database to track misconduct allegations. Those are supported by nine in 10 Americans. Also, wide majorities of Americans support giving civilian oversight boards investigative and disciplinary powers and requiring officers to live in the places they police and making chokeholds or strangleholds a crime. These are all proposals that have come up. And while there are demographic differences, such that Black Americans and Democrats are more likely to support these things and the intensity of support is higher, there is a fair amount of support among white Americans and Republicans for all of these things as well. Even as there are differences in how Americans view police, there are also some areas where, at least in the public at large, there’s common ground. One question is, as proposals for change continue to come up at various levels of government, federal, state, local, how will that manifest in public attitudes?

This video cites data from the following research:

  • “ Americans’ Trust in Scientists, Other Groups Declines ” Feb. 15, 2022
  • “ Majority of Public Favors Giving Civilians the Power to Sue Police Officers for Misconduct ” July 9, 2020
  • “ For black Americans, experiences of racial discrimination vary by education level, gender ” May 2, 2019
  • “ Race in America 2019 ” April 9, 2019

Sign up for our weekly newsletter

Fresh data delivered Saturday mornings

901 E St. NW, Suite 300 Washington, DC 20004 USA (+1) 202-419-4300 | Main (+1) 202-857-8562 | Fax (+1) 202-419-4372 |  Media Inquiries

Research Topics

  • Email Newsletters

ABOUT PEW RESEARCH CENTER  Pew Research Center is a nonpartisan fact tank that informs the public about the issues, attitudes and trends shaping the world. It conducts public opinion polling, demographic research, media content analysis and other empirical social science research. Pew Research Center does not take policy positions. It is a subsidiary of  The Pew Charitable Trusts .

© 2024 Pew Research Center

Cart

  • SUGGESTED TOPICS
  • The Magazine
  • Newsletters
  • Managing Yourself
  • Managing Teams
  • Work-life Balance
  • The Big Idea
  • Data & Visuals
  • Reading Lists
  • Case Selections
  • HBR Learning
  • Topic Feeds
  • Account Settings
  • Email Preferences

Research: Resume Gaps Still Matter

  • Boris Groysberg

research topics in vlsi

While attitudes about gaps are changing, data shows that they can still be damaging to job applicants.

Without knowing the details of a person’s history, employers rely on signals of quality to make bets on who will make quality employees with a strong organizational fit. Resume gaps used to be clear negative signals, but attitudes seem to be changing today. For example, LinkedIn recently adopted a new “Career Breaks” feature in which users can showcase skills acquired during a professional pause. While tempting to declare the present day a new age of tolerance and compassion, a deeper analysis suggests it might be wise to take a more guarded perspective, as the reality of the post-pandemic labor market is still unfolding. Drawing on both current studies as well as executive compensation data from the 2008 Great Recession period, the authors show that resume gaps hurt job seekers, both in their ability to get jobs and their pay.

Attitudes toward resume gaps seem to be changing. While they once were considered a serious red flag for job candidates, today we’re seeing more people talking openly and without reservation about taking a break from employment. A 2022 LinkedIn survey of 23,000 global workers indicated that nearly two-thirds of respondents indicated that they had taken some sort of career break. LinkedIn released this survey data while introducing a new “Career Breaks” feature, allowing its users to showcase non-employment experiences and skills acquired during a professional pause.

  • BG Boris Groysberg is a professor of business administration in the Organizational Behavior unit at Harvard Business School and a faculty affiliate at the school’s Race, Gender & Equity Initiative. He is the coauthor, with Colleen Ammerman, of Glass Half-Broken: Shattering the Barriers That Still Hold Women Back at Work (Harvard Business Review Press, 2021). bgroysberg
  • Eric Lin is an associate professor of business and co-chair of the business program at Oberlin College and Conservatory. His research focuses on human capital and talent management. LinXEric

Partner Center

Featured Topics

Featured series.

A series of random questions answered by Harvard experts.

Explore the Gazette

Read the latest.

Woman harvesting coffee cherries.

More money, empowerment — and less chance of domestic abuse

Collage of book covers about money.

Books that pay off

woman walking away from lipstick, milk, coffee, pizza box, bananas, a martini, an apple, lotion, and Chinese food.

Why do I like what I like? 

Economic prospects brighten for children of low-income black americans, study finds.

Opportunity Insights also finds gap widening between whites at top, bottom

Christy DeSmith

Harvard Staff Writer

Illustration of arrows and an open door.

Illustration by Roy Scott/Ikon Images

Economic prospects have improved in recent years for Black Americans born poor, according to new research from Opportunity Insights . At the same time, earnings have fallen for white Americans from low-income families.

The analysis, drawn from 40 years of tax and Census records, finds a dramatic narrowing of the economic divide between the poorest Black and white Americans. But it also reveals a widening gap between low- and high-income white people, driven by shifts in the geography of employment.

“This is the first big data study to look at recent changes in economic opportunity within the same place over time,” said study co-author Benny Goldman , M.A. ’21, Ph.D. ’24, a research affiliate with Opportunity Insights. “And what we see are shrinking race gaps and growing class gaps.”

The research, published last week, follows what Goldman called “a long history of folks studying intergenerational mobility.” That includes Opportunity Insights co-founder and director Raj Chetty , the William A. Ackman Professor of Public Economics and one of the study’s five co-authors. For more than a decade, Chetty has built an influential body of work demonstrating how access to the American Dream varies by region, race, and history.

Changes in economic mobility of Black Americans

Heat maps showing income mobility increased for black children born in 1992 vs those born in 1978.

U.S. heat maps compare mean household income percentile at age 27 of Black Americans born in 1978 (left) vs. 1992.

“Changing Opportunity: Sociological Mechanisms Underlying Growing Class Gaps and Shrinking Race Gaps in Economic Mobility”

Social scientists have found the patterns he uncovered to be persistent. For example, a Swedish demographer compared findings from a 2014 study co-authored by Chetty on upward mobility across generations in the U.S. to the prevalence of slavery from the 1860 census. Counties with high rates of bondage at the outbreak of the Civil War showed less mobility for residents born more than 100 years later.

With the new study, Chetty et al. set out to investigate whether these dynamics are changing. Anonymized records provided by the federal government were used to compare earnings at age 27 with socioeconomic factors from childhood. The sample included 57 million Americans born in 1978 or 1992.

Across the country, the sample’s Black millennials fared better than its Black Gen Xers. Individuals born in 1978 to low-income families (with earnings in the 25th percentile or lower) averaged $19,420 per year in early adulthood compared to an inflation-adjusted $21,030 for poorer members of the 1992 cohort. Outcomes also improved slightly for children born to high-income Black families, though researchers noted “noisier,” or less reliable, estimates for this population due to a small sample size.

“What we see are shrinking race gaps and growing class gaps.”

Outcomes showed wide variation by region, with Black Americans making the biggest strides in the Southeast and Midwest — areas traditionally associated with high rates of Black poverty.

“Take where I grew up in Kalamazoo, Michigan,” offered co-author Will Dobbie , a professor of public policy at Harvard Kennedy School and faculty research fellow at the National Bureau of Economic Research. “Poor Black kids born there in 1992 were earning $4,700 more at age 27 than poor Black kids born there in 1978, an incredible improvement in just a few years.”

Meanwhile, white Gen Xers from poorer families averaged $27,680 per year versus $26,150 for millennial peers. The gap between the poorest and richest white people ballooned by 28 percent over the same period, as those born at the top watched their fortunes climb.

Results were particularly stark in a few regions of the country known for prosperity. “Outcomes for low-income white children born in the ’90s from parts of Massachusetts, Connecticut, rural New York, and California started to look like Appalachia, the Southeast, and the industrial Midwest did for low-income white children born in the late ’70s,” noted Goldman, now a newly installed assistant professor of economics and public policy at Cornell University.

“This work reinforces the importance of childhood communities for outcomes in adulthood, consistent with our prior findings,” Chetty wrote in an email. “But it shows that it is possible for these communities to change rapidly — within a decade — in a way that has significant causal effects on children’s long-term outcomes.”

$21,030 Inflation-adjusted average income for Black millennials at age 27 (vs. $19,420 for Black Gen Xers at same age)

To be sure, vast racial disparities persisted. For Gen Xers who grew up poor, the racial earnings gap between Black and white Americans was $12,994. For millennials, it fell 27 percent to $9,521. In a research summary , modest changes in economic mobility were noted for Hispanic, Asian, and Native American children.

But Black Americans in the younger set had a far better shot at moving out of poverty. Those born in 1978 to families in the bottom income quintile were 14.7 percent more likely to remain in poverty than similarly situated whites. For those born in 1992, the gap fell to 4.1 percent.

As an additional aspect of their analysis, the researchers check their findings against historic rates of parental employment at the neighborhood level. This approach was inspired by the work of Harvard sociologist William Julius Wilson , author of “When Work Disappears: The World of the New Urban Poor ” (1996). “It was used as a broad way to measure the health of any given community where kids grew up,” Goldman explained.

The researchers saw that neighborhood employment tracked neatly with emerging race and class differences. “We found a sharp decline in employment rates among lower-income white parents relative to low-income Black families and higher-income white families,” Goldman said.

Declining earnings were hardly the only negative associated with growing up amid low parental employment. In a testament to the power of social connections, places with fewer working parents also saw rising mortality and falling rates of marriage.

Yet this wasn’t a case of opportunity moving from one group to another, since neighborhoods with higher rates of adult employment saw better outcomes for people of all races. “In areas where Black kids did best, low-income white kids and their parents also did better,” Goldman said.

What’s more, the researchers found that moving to areas with strong parental employment was associated with higher earnings in early adulthood. According to Goldman, this was especially true for those who landed in the new neighborhood before the age of 10. “Growing class gaps and shrinking race gaps did not result from unequal access to a booming economy,” he said. “Instead, what matters is how many years of childhood were spent in a thriving environment.”

Get the best of the Gazette delivered to your inbox

By subscribing to this newsletter you’re agreeing to our privacy policy

Share this article

You might like.

Study examines benefits for working women who help produce Rwandan specialty coffee 

Collage of book covers about money.

Recommendations from three Harvard economists, including Nobel laureate Claudia Goldin

woman walking away from lipstick, milk, coffee, pizza box, bananas, a martini, an apple, lotion, and Chinese food.

Your preferences aren’t as original as you may think, says behavior scientist 

The way forward for Democrats — and the country

Danielle Allen is more worried about identity politics and gaps in civic education than the power of delegates

17 books to soak up this summer

Harvard Library staff recommendations cover romance, fantasy, sci-fi, mystery, memoir, music, politics, history

Beginning of end of HIV epidemic?

Scientists cautiously optimistic about trial results of new preventative treatment, prospects for new phase in battle with deadly virus

IMAGES

  1. PPT

    research topics in vlsi

  2. 😎 Research papers on vlsi design. Vlsi Design Research Papers. 2019-02-18

    research topics in vlsi

  3. New Paradigm VLSI System

    research topics in vlsi

  4. Understanding The Vlsi Design Flow A Comprehensive Vl

    research topics in vlsi

  5. VLSI Full Form: Very Large Scale Integration

    research topics in vlsi

  6. Figure 1 from AI/ML Algorithms and Applications in VLSI Design and

    research topics in vlsi

VIDEO

  1. sc

  2. VLSI by Engineering Funda

  3. What is the Impact Of Substrate Potential On threshold Voltage?? Learn @ Udemy- VLSI Academy

  4. Analog CMOS VLSI Lecture One-4: NMOS (V-I) part1

  5. Analog CMOS VLSI Lecture-3 Part-5

  6. VLSI DESIGN@unit 1@Introduction to VLSI DESIGN

COMMENTS

  1. VLSI for Next Generation CE

    The current research in VLSI explores emerging trends and novel ideas and concepts covering a broad range of topics in the area of VLSI: from VLSI circuits, systems, and design methods, to system-level design and systemon- chip issues, to bringing VLSI methods to new areas and technologies such as nano and molecular devices, MEMS, and quantum computing. Future design methodologies are also key ...

  2. Current issues and emerging techniques for VLSI testing

    The research by [21] introduces a classifier to forecast the failure log's diagnostic value, the location of faults (scan-chain or functional logic), and the time of failure essential to diagnosis. To create the classifier, they applied RF and supplied a collection of features based on the failure log as illustrated in Fig. 3 .

  3. 68784 PDFs

    Explore the latest full-text research PDFs, articles, conference papers, preprints and more on VLSI TECHNOLOGY. Find methods information, sources, references or conduct a literature review on VLSI ...

  4. Intel Labs Presents Research on New Power Efficiency Techniques at

    Intel researchers will present their latest research on breakthroughs in power efficiencies enabled by new materials and circuits in silicon at the VLSI Circuits Symposium. Intel will join leaders in the semiconductor industry during this year's 2021 Symposia on VLSI Technology and Circuits, held online from June 13-19, to discuss the latest ...

  5. VLSI-SoC: Design Trends

    The VLSI-SoC 2020 proceedings present cutting-edge research on very large scale integration, low-power design of RF, and more. VLSI-SoC: Design Trends: 28th IFIP WG 10.5/IEEE International Conference on Very Large Scale Integration, VLSI-SoC 2020, Salt Lake City, UT, USA, October 6-9, 2020, Revised and Extended Selected Papers | SpringerLink

  6. 19223 PDFs

    Explore the latest full-text research PDFs, articles, conference papers, preprints and more on VLSI DESIGN. Find methods information, sources, references or conduct a literature review on VLSI DESIGN

  7. Emerging VLSI Technologies for High performance AI and ML Applications

    The capabilities of artificial intelligence (AI) and machine learning (ML) algorithms are constantly expanding, necessitating efficient and high-performance hardware systems. We have investigated the creation of hardware accelerators based on VLSI that are intended to effectively manage the heavy workloads of machine learning jobs, also explored low-power VLSI architectures that preserve ...

  8. harvard VLSI lab

    The Harvard VLSI Research Group is involved in the design and analysis of a variety of digital, analog, and mixed-signal VLSI systems. High performance computing, signal processing and sensor applications require innovative solutions that may focus on semiconductor device physics, VLSI fabrication technology, circuit design, systems architecture, and/or application software.

  9. Implementation of AI in the field of VLSI: A Review

    The Very Large Scale Integration (VLSI) industry has started adapting the Artificial Intelligence (AI) techniques in design automation as it provides the opportunity to transform the whole chip design methodology. It has been seen that in System-On-Chip (SoC), in order to add ML algorithms to increase its efficiency, there is a need to reduce the existing power consumption of the hardware as ...

  10. AI/ML Algorithms and Applications in VLSI Design and Technology

    We also briefly present the VLSI design flow and introduction to artificial intelligence for the benefit of the readers. We organized the paper as follows. Section 2 briefly discusses the existing review articles on AI/ML-VLSI. An overview of artificial intelligence and machine learning and a brief on different steps in the VLSI design and manu-

  11. Frontiers in Electronics

    Research Topics. Part of an innovative journal that explores the role of electronics in technological innovation, this section introduces topics related to integrated circuits and VLSI.

  12. VLSI Design: Circuits, Systems and Applications

    This book showcases the latest research in very-large-scale integration (VLSI) Design: Circuits, Systems and Applications, making it a valuable resource for all researchers, professionals, and students working in the core areas of electronics and their applications, especially in digital and analog VLSI circuits and systems.

  13. Electronics

    The focus of this Special Issue is on the research challenges related to the design of emerging microelectronics and VLSI circuits and systems that meet the demanding specifications of innovative applications. This Special Issue considers challenges in the fields of low power consumption, small integration area, testing and security, without ...

  14. AI/ML algorithms and applications in VLSI design and technology

    The impact of AI on VLSI design was first demonstrated in 1985 by Robert. S. Kirk [15]. He briefly explained the scope and necessity for AI techniques in CAD tools at different levels of VLSI design. His paper included a brief on the existing VLSI-AI tools and stressed the importance of incorporating the expanded capabilities of AI in CAD tools.

  15. Robust Low Power VLSI

    The Robust Low Power VLSI Group, led by Professor Ben Calhoun, investigates research topics related to modern VLSI design. Among the many challenges facing circuit designers in deep sub-micron technologies, power and variation are perhaps the most critical. Our group's focus is to confront these problems in a range of applications and different ...

  16. Electronics

    The focus of this Special Issue is on the research challenges related to the design of emerging microelectronics and VLSI circuits and related systems that meet the demanding specifications of innovative applications. This Special Issue considers challenges in the fields of low power consumption, small integration areas, testing and security ...

  17. IBM Research at VLSI 2020

    At the 2020 Symposia on VLSI Technology and Circuits this week, IBM Research is presenting a variety of papers, short courses, workshops and virtual sessions that demonstrate the latest advances in systems research. Our research spotlights key developments for hybrid cloud infrastructure and AI, marked by improvements in performance, energy ...

  18. Integrated Circuits and VLSI

    Research in analog integrated circuits includes low-power and high-precision sensor and actuator interface circuits, telecommunication and RF circuits, wireless telemetry, and high-speed analog-digital converters. Research in Very-large-scale integration (VLSI) digital circuits includes microprocessor and mixed signal (microcontroller) circuits ...

  19. Very-large-scale integration

    Very-large-scale integration (VLSI) is the process of creating an integrated circuit (IC) by combining millions or billions of MOS transistors onto a single chip. VLSI began in the 1970s when MOS integrated circuit (Metal Oxide Semiconductor) chips were developed and then widely adopted, enabling complex semiconductor and telecommunication technologies. The microprocessor and memory chips are ...

  20. A Comprehensive Analysis in Recent Advances in 3D VLSI Floorplan

    Abstract. Floorplan is one of the most critical steps of the physical design of VLSI Design flow. Decreasing size, interconnects, power consumption, and chip leakage are always on the top priority list for consumers and researchers. This article presents the latest advancements in one of the hot research topics in VLSI Physical Design: 3D ...

  21. Latest Research topics in vlsi design

    latest research topics in vlsi design. latest research topics in vlsi design - Doctor of philosophy is the final degree in any area. It requires a lot of efforts and hard work to achieve this.It starts with selection of a topic which should be recent and lies in your area of interest. If we talk specifically about research in technology then ...

  22. VLSI Research Topics Ideas [MS PhD]

    List of Research Topics and Ideas of VLSI for MS and Ph.D. Thesis. High-throughput VLSI architecture for soft-decision decoding with ORBGRAND. Approximate Pruned and Truncated Haar Discrete Wavelet Transform VLSI Hardware for Energy-Efficient ECG Signal Processing. ADMM-Based Infinity-Norm Detection for Massive MIMO: Algorithm and VLSI ...

  23. Research: How Passion Can Backfire at Work

    Passion has long been championed as a key to workplace success. However, scientific studies have found mixed results: On the one hand, some studies find evidence that passionate employees tend to ...

  24. 3 Topics To Avoid At Work—And Why Politics May Not Be One Of ...

    Why Avoiding the Topic of Politics Can Backfire. Conventional wisdom suggests that discussing politics at work is a recipe for conflict. However, Silver and Shaw's research indicates that ...

  25. New textbook provides a fresh perspective on modern power systems

    Kirschen's textbook covers important topics that are essential to understanding power systems, such as the modeling of power system components, power flow, fault calculations, and maintaining power system stability. ... Really being on top of the new research and new ideas and being able to incorporate those in your teaching is essential." ...

  26. Physicists report new insights into exotic particles key to magnetism

    Comin is also affiliated with the Materials Research Laboratory and the Research Laboratory of Electronics. Comin's colleagues on the work include Connor A. Occhialini, an MIT graduate student in physics, and Yi Tseng, a recent MIT postdoc now at Deutsches Elektronen-Synchrotron (DESY). The two are co-first authors of the Physical Review X paper.

  27. 2022 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology

    Need Help? US & Canada: +1 800 678 4333 Worldwide: +1 732 981 0060 Contact & Support

  28. Do Americans trust the police?

    This video cites data from the following research: "Americans' Trust in Scientists, Other Groups Declines" Feb. 15, 2022 "Majority of Public Favors Giving Civilians the Power to Sue Police Officers for Misconduct" July 9, 2020 "For black Americans, experiences of racial discrimination vary by education level, gender" May 2, 2019 "Race in America 2019" April 9, 2019

  29. Research: Resume Gaps Still Matter

    Without knowing the details of a person's history, employers rely on signals of quality to make bets on who will make quality employees with a strong organizational fit. Resume gaps used to be ...

  30. Economic mobility up for Black Americans born poor, study finds

    The research, published last week, follows what Goldman called "a long history of folks studying intergenerational mobility." That includes Opportunity Insights co-founder and director Raj Chetty, the William A. Ackman Professor of Public Economics and one of the study's five co-authors. For more than a decade, Chetty has built an ...