- PLDWorld -

 

Created by html help conversion utility.
  • Stack Overflow for Teams Where developers & technologists share private knowledge with coworkers
  • Advertising & Talent Reach devs & technologists worldwide about your product, service or employer brand
  • OverflowAI GenAI features for Teams
  • OverflowAPI Train & fine-tune LLMs
  • Labs The future of collective knowledge sharing
  • About the company Visit the blog

Collectives™ on Stack Overflow

Find centralized, trusted content and collaborate around the technologies you use most.

Q&A for work

Connect and share knowledge within a single location that is structured and easy to search.

Get early access and see previews of new features.

How to assign pins in Quartus II

We are looking at moving some code into a CPLD or FPGA in order to make it faster. I have worked with Xilinks and their suite of tools before, but for some reason it was decided that we'd use Altera this time around so I am trying to get used to Quartus II.

In particular, I am looking for a way to explicityly assign pins to the chip I am using. In Xilinx, I'd edit the netlist file but I can find no such thing in Quartus. There is a program (Assignments => Pin Planner) that does this, but it has a clunky GUI and I'd far prefer to edit the pins in a text editor, so my twofold question is

A: How do I find the file that stores the pin assignment? It's not listed under my files in the project navigator but the pins I've assigned in Pin Planner stay from session to session so they must be stored somewhere .

B: Is this a horrible idea?

IDE is Quartus II 10.1 Development kit is MAX II Development Board Language is VHDL

EDIT: Right now, I've run into the problem that I'm trying to interface with the Dev Kit through USB. I'm making a serial data receiver on it and have given it a Data In pin. The Dev Kit has a USB receiver so I'm trying to map din to whichever pin the USB connector is on. According to a file I have (rm_maxII-develop_board-rev1.pdf) the USB connector is on "Board Designation U13" but when I go into the Pin PLanner and try to assign that, there is no PIN_U13. I suspect this is an error in the pdf, rather than in Pin Planner but seing as I've never worked with Altera products before, I'm very confused.

Qiu's user avatar

  • Ah, you posted here too. :-) I added answer at overmapped.com/questions/how-to-assign-pins-in-quartus-ii –  Prof. Falken Commented Apr 11, 2011 at 14:29
  • I did - slightly before I read about Overmapped and figured that'd be a better place for it. What's your user name on Overmapped? I see no replies from Amigable Clark Kant over there. –  shieldfoss Commented Apr 12, 2011 at 9:14
  • Jakob. It's here too if you look around hard enough. :-) –  Prof. Falken Commented Apr 12, 2011 at 9:26

A) You need to edit the *.qsf file, and add lines similar to the following:

B) While I will sometimes use the pin planner in the early stages of a design, I almost exclusively edit the qsf file directly when modifying pins, adding or removing VHDL files from the design, etc. To be completely safe, when making large changes (particularly to optimization or compiler settings) I will make sure Quartus is not running. I've been working this way with Quartus since it came out, and have not had any problems.

Once your design is compiled, you can refer to the *.pin file to see the final pinout for the FPGA. In particular, refer to the column indicating whether or not the pin is manually assigned, as any pins not specifically assigned to a location will change pretty much every time you recompile the chip (which is sub-optimal if you've already made a PCB! :).

Charles Steinkuehler's user avatar

  • @medivh, that I don't know, please tell us where you found it. –  Prof. Falken Commented Apr 13, 2011 at 10:04

Your Answer

Reminder: Answers generated by artificial intelligence tools are not allowed on Stack Overflow. Learn more

Sign up or log in

Post as a guest.

Required, but never shown

By clicking “Post Your Answer”, you agree to our terms of service and acknowledge you have read our privacy policy .

Not the answer you're looking for? Browse other questions tagged vhdl intel-fpga quartus or ask your own question .

  • Featured on Meta
  • We've made changes to our Terms of Service & Privacy Policy - July 2024
  • Announcing a change to the data-dump process

Hot Network Questions

  • embedding into hilbert cube.
  • How should I acknowledge a reviewer who left a negative review?
  • How can DC charge a capacitor?
  • parallelStream with collect method()
  • Can I replace this resistor (in USB adapter that plugs to mains) with this other resistor?
  • Why would radio-capable transhumans still vocalise to each-other?
  • Searching for a 90s Anime with a Dark-to-Light Princess Twist
  • Compact rotatable connection that supports pull forces?
  • Solving a system of inequalities involving Binomial
  • Private sector professional being screened out of PhD program
  • Split column into lines using awk
  • Why don't neutrons and protons have variable spin?
  • Political Relations on an Interstellar Scale
  • Inverse problem for geodesic
  • Why do tip vortices seem to 'bend' inwards at the tip of a plane wing?
  • Does a router lookup in routing table twice for each packet?
  • Is a hotter heat source always necessary for an object to be heated?
  • Why is transfer of heat very slow as compared to transfer of sound in solids?
  • How 'Aristotelian' is Rawls' 'Aristotelian principle'?
  • In what way are we one in Christ Jesus?
  • How to design a resampling filter?
  • Automata reaching the same state when reading the same word long enough
  • What is this tree with these red berries?
  • Proper shift cable routing for SRAM Rival derailleur

quartus assignment editor

Stack Exchange Network

Stack Exchange network consists of 183 Q&A communities including Stack Overflow , the largest, most trusted online community for developers to learn, share their knowledge, and build their careers.

Q&A for work

Connect and share knowledge within a single location that is structured and easy to search.

Altera FPGA I/O weak pull ups

In altera FPGA documentation they make reference to a "I/O weak pullup" functionality.

I would like to use internal weak pull up instead of external pullups , avoiding a PCB modification.

It seems is possible to activate weak pull up for an I/O in quartus. But there is no information on how to activate it.

Cristian Mardones's user avatar

  • \$\begingroup\$ In the Assignment Editor, set the weak pull-up assignment to ON to enable the on-chip pull-up resistor for the pin. \$\endgroup\$ –  Qiu Commented Jul 27, 2016 at 13:00

3 Answers 3

There are two ways of doing it.

1. Pin Planner

The first approach is in the Pin Planner tool. This is the GUI that allows you to select which pin goes where. From the main window with your project open, go to the Assignments menu and select Pin Planner (or press Ctrl + Shift + N ).

In the pin planner window, in the All pins view at the bottom, right click on any column header, and select "Customise Columns":

Customise Columns

In the window that opens, scroll down in the left hand side and find "Weak Pull-up Resistor" (it's third one up from the bottom on mine). Click on that, then click the right arrow button to add it to the visible columns. Then click OK.

Show Weak Pull-Up

Now back in the Pin Planner window, you should see a new column called Weak Pull-Up Resistor. For each pin you wish to enable it on, simply click in the box for that column and select "On" (you can also type On into the box). By default it is turned "Off" - so if the column is blank, it means the same as if it says off.

Enable Pull-Up

2. Assignment Editor

You can also assign it manually with the "Assignment Editor" tool. This is useful if, say, you want to enable it for all pins in a bus, or even just all pins. You can use wildcards here.

Open the assignment editor tool in the main window by going to the "Assignments" menu and selecting "Assignment Editor" (or press Ctrl + Shift + A )

At the bottom of the list of assignments, there is a row where all entries are <<New>> . Click in the "Assignment Name" column and select "Weak Pull-Up Resistor". Then in the "Value" column, select "On". Finally in the "To" column, enter the pin name (which can include the wildcard character * ).

Assignment Editor

This will enable the pull-up for any pin matching the name in the "To" column.

Community's user avatar

  • \$\begingroup\$ Fantastic answer! BTW, how weak is the weak pullup? Nevermind, just found it, it's 25kiloohms to Vccio: profesores.fi-b.unam.mx/maixx/Biblioteca/Librero_Computacion/… \$\endgroup\$ –  Eyal Commented Nov 13, 2016 at 13:07

I would like to point out that the weak pullup resistors on an FPGA/SOC/MCU are not a replacement for real external pullups. So when devising a strategy to use it please take the following into account.

  • On-chip weak pullups are primarily for use when pins are left unused in a design and the pads do not have anything connected in the board etch artwork.
  • The on-chip weak pullup resistors are typically in the range of 50K to 100K ohms. An input trace connected to such is susceptible to coupling from neighboring noisy traces due to the relative high impedance of the net.
  • Circuit modes that operate in open drain or open collector mode will have lazy (slow) rise times due to the high resistance of weak pullups.
  • External circuits that depend upon a pullup to bias an external component such as an NPN transistor will likely not get enough source current due to the high resistance of weak pullup resistors.
  • Use of on-chip pullup resistors increases overall power consumption in a device when external circuits assert signal levels that counteract the pullups.
  • Unused pins with an external component provide a connection point for testing and board design re-work.

So my recommendation is to follow the best known design methodology that has been adopted at many companies, large and small, and use external pullup resistors on nets that come off an FPGA/SOC/MCU for connection to other parts of the board or to test points. Design recommendations such as these suggest pullups no greater than 10K ohms be used with 4.7K being generally better. Smaller values to be selected where warranted by the circuit requirements.

Note that nearly all the same comments and recommendations apply to the use of on-chip weak pull down resistors too.

Michael Karas's user avatar

  • \$\begingroup\$ Whom ever felt the need to downvote this answer has clearly never had real practical experience in high volume production electronics and the (a) variation of resistance value in silicon on chip resistors, (b) how high of resistance these on chip resistors really are, and (c) how susceptable circuits on boards that depend on only on on chip pullup/pulldown resistors are to upset due to real world electrical disturbances. \$\endgroup\$ –  Michael Karas Commented Mar 4, 2023 at 8:23

Within the qsf file, you can add the following: set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to pin_name

Chris Cornish's user avatar

Your Answer

Sign up or log in, post as a guest.

Required, but never shown

By clicking “Post Your Answer”, you agree to our terms of service and acknowledge you have read our privacy policy .

Not the answer you're looking for? Browse other questions tagged fpga vhdl quartus-ii quartus or ask your own question .

  • Featured on Meta
  • We've made changes to our Terms of Service & Privacy Policy - July 2024
  • Announcing a change to the data-dump process

Hot Network Questions

  • Can I use the CJKfonts as math fonts?
  • Is "Non-Trivial amount of work" a correct phrase?
  • Could mage hand be used for surgery on another creature?
  • What is the “history“ of mindfulness
  • Plotting circle by center of circle and the radius in QGIS
  • When I use SSH tunneling, can I assume that the server does not need to be trusted?
  • Does a router lookup in routing table twice for each packet?
  • What's another word for utopian
  • Why is the E in 'collega' long?
  • Which programming language first used negative indexing to mean counting from the end?
  • What is the “Reinforcement Axiom” for a social choice function?
  • How can DC charge a capacitor?
  • Trimming direction on climb out and descent to maintain an airspeed
  • Centrifugal force/ pseudo force in circular motion
  • Who was Dionysodorus of Melos?
  • How to reconcile different teachings of Jesus regarding self defense?
  • Stuck in Infinite Loop
  • What techniques are there for preventing radio-signal replay attacks?
  • Usage of Tabular method for Integration by Parts
  • How should Psalm 5:3 אֶֽעֱרָךְ־ best be translated
  • Is it bad to have similar themes between my novels?
  • Is there any airplane that doesn't use a headset but speakers?
  • Will the dense subset of an uncountable set remain dense if we remove a single element?
  • Compilation containing a story about a metal cube, one about astronauts who visit a world and ascend gradually, and one of a world with telepathy

quartus assignment editor

Get the Reddit app

A subreddit for programmable hardware, including topics such as: * FPGA * CPLD * Verilog * VHDL

Pin Planner vs Assignments Editor

I just compiled a design using QUARTUS II version 13.0.1 and as expected PIN PLANNER automatically did choose pins for it. After that I opened the ASSIGNMENTS EDITOR and did not find any of the pins assignments made by the PIN PLANNER.

Is this the expected behavior? When a pin assignment should be made on the ASSIGNMENTS EDITOR vs the PIN PLANNER?

By continuing, you agree to our User Agreement and acknowledge that you understand the Privacy Policy .

Enter the 6-digit code from your authenticator app

You’ve set up two-factor authentication for this account.

Enter a 6-digit backup code

Create your username and password.

Reddit is anonymous, so your username is what you’ll go by here. Choose wisely—because once you get a name, you can’t change it.

Reset your password

Enter your email address or username and we’ll send you a link to reset your password

Check your inbox

An email with a link to reset your password was sent to the email address associated with your account

Choose a Reddit account to continue

Success! Subscription added.

Success! Subscription removed.

Sorry, you must verify to complete this action. Please click the verification link in your email. You may re-send via your profile .

  • Intel Community
  • Product Support Forums
  • Intel® Quartus® Prime Software

Global/Regional Clock Assignment

  • Subscribe to RSS Feed
  • Mark Topic as New
  • Mark Topic as Read
  • Float this Topic for Current User
  • Printer Friendly Page

Altera_Forum

  • Mark as New
  • Report Inappropriate Content
  • All forum topics
  • Previous topic

Link Copied

quartus assignment editor

Community support is provided Monday to Friday. Other contact methods are available here .

Intel does not verify all solutions, including but not limited to any file transfers that may appear in this community. Accordingly, Intel disclaims all express and implied warranties, including without limitation, the implied warranties of merchantability, fitness for a particular purpose, and non-infringement, as well as any warranty arising from course of performance, course of dealing, or usage in trade.

For more complete information about compiler optimizations, see our Optimization Notice .

  • ©Intel Corporation
  • Terms of Use
  • *Trademarks
  • Supply Chain Transparency

Forum for Electronics

  • Search forums

Follow along with the video below to see how to install our site as a web app on your home screen.

Note: This feature may not be available in some browsers.

Welcome to EDAboard.com

Welcome to our site edaboard.com is an international electronics discussion forum focused on eda software, circuits, schematics, books, theory, papers, asic, pld, 8051, dsp, network, rf, analog design, pcb, service manuals... and a whole lot more to participate you need to register. registration is free. click here to register now..

  • Digital Design and Embedded Programming
  • PLD, SPLD, GAL, CPLD, FPGA Design

[SOLVED]   quartus pin assignments problem

  • Thread starter dipin
  • Start date Nov 10, 2016
  • Nov 10, 2016

Full Member level 4

quartus assignment editor

Super Moderator

Are you sure the pins are named PIN_ A21 and not just A21? I don't have Quartus installed anywhere so I can't check.  

hamidmoallemi

Full member level 2.

are you sure that you select the device correctly ? "assignment > device " if you've done anything correct in pin planner list of your inputs and outputs will be displayed and you can assign a pin for each from combo box  

ads-ee said: Are you sure the pins are named PIN_ A21 and not just A21? I don't have Quartus installed anywhere so I can't check. Click to expand...

quartus assignment editor

hamidmoallemi said: are you sure that you select the device correctly ? "assignment > device " if you've done anything correct in pin planner list of your inputs and outputs will be displayed and you can assign a pin for each from combo box Click to expand...

FvM

Reviewing Cyclone V manuals might help. A21 is a dedicated SoC resource, it can't be used as FPGA fabric I/O. For the same reason, the pin isn't offered in the Pin Planner selection when assigning I/O signals.  

FvM said: Reviewing Cyclone V manuals might help. A21 is a dedicated SoC resource, it can't be used as FPGA fabric I/O. For the same reason, the pin isn't offered in the Pin Planner selection when assigning I/O signals. Click to expand...

You didn't tell what you want to achieve, but apparently the development board design expects that the I2C is controlled by ARM processor.  

andre_luis

Use assignment editor rather than pin planner, or check the .qsf file to see if there is another variable handling these pins. You are perhaps using as template a design not 'empty', so something is likely already assigned to those pins as they said above.  

FvM said: You didn't tell what you want to achieve, but apparently the development board design expects that the I2C is controlled by ARM processor. Click to expand...
Hi, i wanted to connect a dac daughter board to de0 nano soc through LTC connector using i2c interface. after that need to send a 32 bit data(as specified in 2607 manual) to dac and read the voltage on output.i am using LTC 2607 as daughter board. thanks and regards Click to expand...
  • Nov 11, 2016
FvM said: I'm not sure if you understand the SoC FPGA concept. The Hard Processor System (HPS) has a number of dedicated I/O pins that can't be directly accessed by the programmable FPGA logic. A21 is one of it. It can be only used as I2C pin by the hardware I2C controller in the ARM processor and respective C code. The pin could be also configure as ARM GPIO, but that doesn't help for your intention to address the pin from FPGA logic. Click to expand...
if it not possible to access the pins, then how can i do it. Click to expand...

Similar threads

dpaul

  • Started by dpaul
  • Jun 5, 2024
  • Started by Sanila P
  • May 14, 2024
  • Started by AstarothJx
  • Oct 14, 2023
  • Started by Lightning89
  • Feb 14, 2024
  • Started by mtaciano
  • Jul 7, 2023

Part and Inventory Search

Welcome to edaboard.com.

  • This site uses cookies to help personalise content, tailor your experience and to keep you logged in if you register. By continuing to use this site, you are consenting to our use of cookies. Accept Learn more…

IMAGES

  1. 2.4.1.1. Configuring I/O Assignments Using Assignment Editor

    quartus assignment editor

  2. Pin Assignment Solution for Quartus II

    quartus assignment editor

  3. QuartusII常用操作整理_quartus怎么生成rtl图-CSDN博客

    quartus assignment editor

  4. Quartus Waveform Editor Quick Start

    quartus assignment editor

  5. 建立一个简单的quartus ii工程(重在流程)_quartus assignment editor-CSDN博客

    quartus assignment editor

  6. 建立一个简单的quartus ii工程(重在流程)_quartus assignment editor-CSDN博客

    quartus assignment editor

VIDEO

  1. 2 Quartus VHDL Display 7 segmentos

  2. Quartus-II Simulation

  3. Quartus II для начинающих. Урок 2

  4. Quartus II для начинающих. Урок 1

  5. Learning FPGA Together! Going through the FPGA Academy Course live!

  6. Quartus Основы №5

COMMENTS

  1. Assignment Editor (Assignments Menu)

    The Assignment Editor allows you to view, create, and edit assignments. The Intel ® Quartus ® Prime software dynamically validates changes that you make through the editor, and issues errors or warnings for invalid assignments.. The System tab of the Intel ® Quartus ® Prime message window. acknowledges adding or changing assignments.

  2. 3.3.4.1. Assignment Editor

    The Assignment Editor provides a spreadsheet-like interface for assigning all instance-specific settings and constraints. The Intel® Quartus® Prime software dynamically validates changes that you make through the editor, and issues errors or warnings for invalid assignments.

  3. 2.3.6. Assignment Editor Options

    2.5.1.1. Find Timing Information. 2.3.6. Assignment Editor Options. 2.3.6. Assignment Editor Options. The Assignment Editor allows you to select Optimization Technique & Synthesis Power Optimization for individual modules. With this feature, you can focus on the parts of the design that require more work.

  4. PDF Intel Quartus Prime Pro Edition User Guide: Design Constraints

    Intel Quartus Prime Assignment Editor (Assignments Assignment Editor ) provides a spreadsheet-like interface for assigning all instance-specific settings and constraints. To help you explore your design, the Assignment Editor allows you to filter assignments by node name or category. Figure 1.

  5. PDF Intel Quartus Prime Pro Edition User Guide: Design Constraints

    Figure 1. Intel Quartus Prime Assignment Editor Use the Assignment Editor to: • Add, edit, or delete assignments for selected nodes • Display information about specific assignments • Enable or disable individual assignments • Add comments to an assignment Additionally, you can export assignments to a Comma-Separated Value File (.csv). 1 ...

  6. What is difference between pin planner and Assignment editor?

    Assignment editor is the tool for assigning various things including pins. Pin editor is special tool for pin assignment including a nice panorama of pins and I am sure you will like it better than assignment editor for pin assignment. --- Quote End --- Thanks very much, kaz. That means it is better to use pin editor if I just need assign pins.

  7. Assignment Editor Introduction

    The Assignment Editor is the interface for creating and editing assignments in the Quartus ® II software. Assignments are logic functions you assign to a physical resource on the device, or compilation resources you assign to logic functions. The Category Bar contains all of the assignment types for the current device. You can resize, close ...

  8. PDF Using the Assignment Editor in the Quartus II Software

    The Assignment Editor loads the assignments that exist in two of the Quartus II settings files: Entity Settings File (.esf) and Compiler Settings File (.csf). As assignments are made, modified, and removed within the Assignment Editor, they are not saved or

  9. How do I fix pin assignments on a Quartus Prime Lite project?

    I selected Assignments > Import Assignments and imported DE1_SoC.qsf. When I open the assignment editor, the pins to which I had assigned nodes show up with question marks in their status column: In the bottom of the assignment editor, I see my earlier pin assignments: I start a compile. I get these messages:

  10. pin assignment in Quartus II

    In a similar vein, I am trying to understand what ends up in the Assignment Editor after a pin assignment. In my case, I'm using Quartus II 13.1 Web Edition with the DE0-Nano. In the Pin Planner, I assigned the 50MHz clock, resulting in the following: Node Name: CLK_50 . Direction: Input . Location: PIN_R8 . In the Assignment Editor, this shows ...

  11. Selecting Assignment names in Assignment editor of Quartus II

    Honored Contributor II. 01-03-2012 09:08 PM. 1,537 Views. well, in the assignments editor you have the information window which gives some basic information (surprise) about every assignment. I believe that the most used for synthesis are set pin location current strength weak pull up and you might find this one also useful: set virtual pin.

  12. 3.3.2. I/O Assignments with the Intel® Quartus® Prime Assignment

    View Details. 3.3.2. I/O Assignments with the Intel® Quartus® Prime Assignment Editor. You can assign all instance-specific settings and constraints through the Intel® Quartus® Prime Assignment Editor. You can filter assignments by node name or category. Figure 26. Intel® Quartus® Prime Assignment Editor.

  13. PDF Quartus II and DE2 Manual

    board. Pin assignments are made by using the Assignment Editor. Select Assignments >Pins to reach the window in Figure 16. Under Category select Pin to reach window in Figure 17. Double-click on the first cell which is highlighted in blue in the column labeled To. The drop-down menu in Figure 18 will appear. Choose the ports and assign the pin ...

  14. PDF Introduction to Quartus II Software

    device, meet any other constraints you have set, and then optimize the remaining logic in the design. The Assignment Editor and Pin Planner are interfaces for creating and editing pin, node, and entity-level assignments in Quartus II software. The Pin Planner allows you to make assignments to individual pins and also groups of pins. It includes a

  15. Assignment Editor (Assignments Menu)

    The Assignment Editor allows you to view, create, and edit assignments. The Intel ® Quartus ® Prime software dynamically validates changes that you make through the editor, and issues errors or warnings for invalid assignments.. The System tab of the Intel ® Quartus ® Prime message window. acknowledges adding or changing assignments.

  16. vhdl

    8. A) You need to edit the *.qsf file, and add lines similar to the following: set_location_assignment PIN_AP30 -to qdr_q[35] B) While I will sometimes use the pin planner in the early stages of a design, I almost exclusively edit the qsf file directly when modifying pins, adding or removing VHDL files from the design, etc.

  17. Altera FPGA I/O weak pull ups

    Open the assignment editor tool in the main window by going to the "Assignments" menu and selecting "Assignment Editor" (or press Ctrl+Shift+A) At the bottom of the list of assignments, there is a row where all entries are <<New>>. Click in the "Assignment Name" column and select "Weak Pull-Up Resistor". Then in the "Value" column, select "On".

  18. Pin assignment does not show in graphic editor of Quartus II 16.1

    1.-. The tedious one: Go pin by pin enlarging the box devoted to show the pin number. 2.-. The easy one: Go to Tools menu, select Options… and then select Fonts in the Block/Symbol Editor (in the left pane). On the right pane a box labeled Types of text will appear.

  19. Making FPGA Pin Assignments

    1. Use the Intel® Quartus® Prime Pin Planner to make pin assignments. 2. Use Intel® Quartus® Prime Fitter messages and reports for sign-off of pin assignments. 3. Verify that the Intel® Quartus® Prime pin assignments match those in the schematic and board layout tools. With the Intel® Quartus® Prime Pin Planner GUI, you can identify I/O ...

  20. Pin Planner vs Assignments Editor : r/FPGA

    This will write the actual assignment to your Quartus setting file (.qsf) and then it should show up in the Assignment editor (which really is a graphical parser for your qsf file). Good practice is to close the Planner as well to make sure it wrote in the assignments. In general, I use the Pin Planner to pick pin locations, IO voltage and slew ...

  21. Global/Regional Clock Assignment

    However, both settings in the assignment editor seem to get ignored by the Quartus fitter. Then, I tried turning off the "Auto Global Clock" switch in the Fitter settings, and manual specified all my global and regional clock signals, including the large-fanout clock that is causing timing violations.

  22. [SOLVED]

    1,731. hi, i am using quartus to connect a DAC daughter board to de0 nano soc through LTC connector. i am using i2c protocol.but when i do the pin assignments , its saying that ""value entered is not a valid location" . i am trying to connect i2c_sda to PIN_A21 & i2c_scl to PIN_B21 in pin planner. my module initiation is. Code: module fpga_i2c(.

  23. How can I assign a PLL output clock to a Global Clock Network?

    You can assign a PLL output clock to a Global Clock Network by using Intel® Quartus® Prime Software Assignment Editor or define it in your .qsf file.